第三章 VHDL语言的基本结构

本文详细介绍了VHDL语言的基本结构,包括实体的功能和声明、结构体的组成和语法、库的分类与使用,以及配置语句的格式。VHDL用于描述数字系统的结构、行为和接口,实体作为设计模块的接口,结构体则描述其内部逻辑。配置允许从多个结构体中选择特定实现。
摘要由CSDN通过智能技术生成

VHDL语言的基本结构

一、VHDL设计简述

VHDL主要用于描述数字系统的结构、行为、功能和接口。
VHDL将一个设计(元件、电路、系统)分为:
外部(可视部分、端口)
内部(不可视部分、内部功能、算法)

1.VHDL语言的一些基本特点

二、实体

1.功能

描述设计模块的输入/输出信号或引脚,并给出设计模块与外界的接口。实体类似一个“黑盒”,实体描述了“黑盒”的输入输出口。

2.格式

3.实体名

实体名实际上是器件名,最好根据相应的电路功能确定。如4位2进制计数器用counter4b; 8位加法器用add8b; 3/8译码 器用ym_ 38。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值