如何避免重复宏定义?重定义错误

如何避免重复宏定义?如有一个头文件def.h就是一个专门用于宏定义常量字符串的头文件,同一个解决方案下有多个工程使用了def.h中的宏定义的字符串,如果在多个文件中都加了#include "def.h"就会出现重复宏定义,该怎么解决啊?

#ifndef _DEF_H
#define _DEF_H

#endif

#pragma once

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值