自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(89)
  • 资源 (8)
  • 收藏
  • 关注

原创 IBM Rational Rhapsody安装与配置

IBM Rational Rhapsody安装与配置

2024-03-15 09:32:31 435

原创 IBM Rational DOORS入门教程:安装

IBM Rational DOORS入门教程:安装

2024-03-14 09:31:49 447 2

原创 Vortex Studio入门教程13.02:与simulink交互

CM Labs Vortex Studio 2024.01与Matlab R2022a Simulink交互仿真

2024-03-01 09:49:51 1001

原创 在独立Unity工程中集成Vortex Studio

Unity中配合Vortex Studio引擎仿真

2024-02-26 10:08:59 412

原创 VREP/CoppeliaSim调用VortexStudio引擎仿真

V-REP/CoppeliaSim中使用Vortex Studio引擎进行仿真

2024-02-20 14:42:20 176

原创 CM Lab Vortex Studio 安装与配置

CMLABS Vortex Studio安装与配置

2023-11-13 10:43:28 296 2

原创 Vega Prime入门教程12.10:DevToolCRO与部署

如何使用DevToolCRO.exe部署Presagis Vega Prime开发的程序

2023-10-13 10:23:20 241

原创 Vega Prime入门教程14.04:CDB测试

Presagis Vega Prime CDB数据库运行测试

2023-10-12 10:07:46 297

原创 Vega Prime入门教程14.01:调用VAPS XT DLL

在Presagis Vega Prime中加载VAPS XT dll

2023-10-11 11:03:31 163

原创 Vega Prime入门教程12.02:基本开发流程

Presagis Vega Prime 基本开发流程

2023-10-10 11:03:21 309

原创 Vega Prime入门教程12.01:运行测试

测试Presagis Vega Prime 安装配置是否成功,能否正常运行默认仿真程序。

2023-10-09 09:25:26 84

原创 Vega Prime入门教程11:软件界面

Presagis Vega Prime GUI Lynx Prime介绍

2023-10-08 09:17:21 276

原创 Vega Prime入门教程08:安装

安装与配置Presagis Vega Prime

2023-10-07 10:47:27 355

原创 VAPS XT入门教程11:基本数据类型介绍

VAPS XT提供了最基本的数据类型和可扩展的数据类型。

2022-10-10 09:08:37 506

原创 VAPS XT入门教程12.02.02:计算器(Calculators)介绍

VAPS XT对于常用的操作、算法进行了封装,用户只需要输入输入源就可以获得需要的结果,这一类被称为计算器(Calculators)其位于默认界面的Toolbox的Calculators下当然也可以直接在数据流中使用对应的函数。

2022-09-26 19:08:45 795 1

原创 VAPS XT入门教程19.21:设置并使用中文字体

首先创建一个空白工程项目。打开Tables->FontTable->FontTable,可以看到项目自带的字体信息。删除不需要的字体并添加我需要的中文字体新添加的字体还没有设置字体信息,细节为空,右侧是设置界面。将字体复制到项目的Tables->Font文件夹下,与FontTable.vprj同目录。修改字体的类型为TrueType(当然,你使用的字体是什么类型就选什么类型):设置字体的位置(Regular/Bold/Italic/BoldItalic)

2022-09-19 19:09:05 556

原创 VAPS XT开发入门教程07:表元素(TableElements)介绍

此控件位于编辑器的Toolbox中的TableElements。VAPS XT提供了表元素用于管理内部的资源。将需要使用的资源添加到表中,然后以资源索引的方式使用表元素是和工程中的Tables子工程配合使用的如果没有打开表中的任何一个实际文件,表元素是不可用的。

2022-09-12 18:55:31 418

原创 VAPS XT开发入门教程06:新建文件介绍

我们来看一下VAPS XT的基本文件类型和格式VAPS XT工程的所有文件都是XML格式保存的。一个页面一般会保存为两个文件。vcls(v class)一般保存声明,比如数据结构、事件名称等等,vimp(v implementation)一般保存页面的实现、事件的操作等等点击新建。

2022-09-06 21:47:09 724

原创 VAPS XT开发入门教程05:预览与状态机

状态机是有限状态自动机的简称,是现实事物运行规则抽象而成的一个数学模型。先来解释什么是“状态”(State)。现实事物是有不同状态的,例如一个LED等,就有 亮 和 灭 两种状态。我们通常所说的状态机是有限状态机,也就是被描述的事物的状态的数量是有限个,例如LED灯的状态就是两个 亮 和 灭。状态机,也就是 State Machine ,不是指一台实际机器,而是指一个数学模型。说白了,一般就是指一张状态转换图。VAPS XT核心功能就是建立在状态机这个功能上面。...

2022-08-30 19:42:36 470 2

原创 VAPS XT开发入门教程03:程序目录说明

安装完成之后,我们来看一下程序目录中都有什么。

2022-08-22 20:15:16 557

原创 VAPS XT开发入门教程01:软件安装包

目前已知的版本。

2022-08-15 20:16:14 792

原创 FFmpeg5开发入门教程18:解码内存数据并播放

上一篇介绍解码MP3文件,然后使用Qt播放。本文介绍一个从内存中获取MP3数据,然后解码播放。为什么要从数据库获取数据呢?因为我的应用场景就是音频数据保存在数据库中。

2022-08-08 17:01:20 1148

原创 FFmpeg5开发入门教程17:软件解码音频并使用QAudioOutput播放

上一篇的介绍了解码音频并将数据格式由float变为signedint(双声道、16位数据、44100Hz、小端数据这些保持不变),然后将数据保持为PCM文件,并使用ffplay播放测试。本篇使用Qt的QAudioOutput类来播放解码后的数据,省略了保存为文件然后使用别的程序播放这个过程。...

2022-08-01 09:39:47 710 1

原创 FFmpeg5开发入门教程16:音频重采样解码为pcm

44100Hz就是音频的采样数,一段连续音频编码时包含多个数据包,一个数据包里面有连续数据,编码时会丢掉部分。比如说1秒的原始音频,44100Hz的意思就是我在这1秒的音频里面取44100个点,其他的数据丢掉。那么重采样最好的方法就是向下重采样,44100->40000,向上重采样时原始数据已经丢掉肯定会出现噪音。还是上一篇使用的音频文件,本篇主要是修改解码后的一些参数,比如数据格式等等。也可以使用ffmpeg软件命令来将MP3文件解码为pcm文件。解码后的音频大小为42.4MB。......

2022-07-25 20:39:55 1001

原创 FFmpeg5入门教程15:mp3音频解码为pcm

这行信息,格式为mp3,采样率为44.1kHz,stereo立体声(即双声道),fltp表示数据格式为浮点型(float)。ar为audiorate,ac为audiochannel,f32le为float32位小端数据格式。本系列的之前文章介绍了视频的编解码相关,接下来介绍音频的编解码,本文将mp3音频文件解码为pcm。使用的mp3音频文件为从网易云音乐上下载的排骨教主的牵丝戏,文件大小为9.6MB。和解码视频的部分类似。解码结果为84.5MB。的基本流程是一样的。...

2022-07-19 21:39:30 1638

原创 FFmpeg4入门14:Linux下摄像头捕获并编码为h264

上一篇是将H264流封装到MP4容器中,本篇介绍一个最常用的捕获原始数据的方法:从摄像头获取数据。因为本人已经放弃windows操作系统,所以使用linux来获取摄像头并编码为H264文件保存。linux下使用video4linux2作为设备来定义统一的接口,先看一下摄像头数据信息:摄像头原始数据为YVYU422格式,而我们一般使用的是YUV420P。所以我们编码之前需要将其解码为YUV420P(当然也可以不解码,因为视频播放的时候也会解码,这里只是为了入门教学)。那么步骤就是读取摄像头YUYV422数据

2022-07-11 09:26:01 1907 1

原创 FFmpeg4入门13:h264编码为mp4

上一篇将yuv源视频文件编码为的由libx264实现压缩的文件,将源文件从55M编码为620KB,但是h264文件只有视频数据,而且使用范围不太广。那么就需要进一步的封装,在此选用最常用的mp4格式为例。随便选一个mp4格式文件,用FFmpeg4入门4:解析视频并输出视频信息或者ffprobe查看一下数据。迈克尔杰克逊的,输出如下:有两条流,一条h264的视频流,一条aac的音频流。现在我们有h264的流,创建一个mp4文件,新建一条流并将h264流插入进去。(暂时没有音频部分)转换流程图为:可以看到和

2022-07-04 09:00:44 3890 3

原创 FFmpeg4入门12:yuv编码为h264

1080p画质的视频帧有1920 * 1080=2073600个像素点,每个像素点为3通道,每个通道每个像素点为8位。那么,一张图片为1920 * 1080 * 3 * 8bit=4976400bit=6220800B=6075KB=6MB。那么一个普通三通道1080P的30帧的1秒的视频尺寸为:6 * 30 * 1=180M。这个大小对于本地存储和网络传输都是一个考验,为了减少传输带宽、减小存储空间,就需要将视频压缩。这个压缩的过程叫编码。常用的是H264编码。h264编码器编码完成的文件格式为,与音频文

2022-06-27 10:08:54 1084 1

原创 FFmpeg4入门11:CUDA硬解并使用Qt播放视频(YUV420SP转RGB32)

之前几篇文章介绍了使用CPU+FFmpeg解码视频并显示在QWidget/QML/QOpenGL上,本文介绍FFmpeg使用硬件解码,主要是使用CUDA。首先看一下解码流程,主要流程和CPU解码差不多。硬解解码包括CUDA/QSV/DRM/VAAPI/VDPAU等等,CUDA很好理解,你主要装了NVIDIA显卡就可以使用;QSV是Intel CPU自带的解码器,但是现在大部分CPU不带QSV支持(便宜),而且配置也不方便,所以就忽略了。那么就先选一个:查找名为CUDA的硬件设备,如果没有找到,就输出当前使

2022-06-20 17:31:44 1037 3

原创 FFmpeg4入门10:软解并使用QML播放视频(YUV420P转OpenGL)

QML显示视频无法用之前的方法实现,我结果多次尝试过后终于找到了可以使用的方法。解码流程图为:解码函数调用流程图为:流程架构如下图:分为三个部分主要流程和之前的一样,只是少了格式转换和多了数据填充部分,关键代码如下:主要是将解码后的YUV(YUV420P)数据复制到指定的结构体中,并排入缓冲队列中。QML显示部分此部分需要两个类VideoItem、VideoFboItem,VideoItem是QML调用的接口,而VideoFboItem是由VideoItem自动调用。render/createFra

2022-06-13 09:29:13 968 3

原创 FFmpeg4入门09:软解并使用QOpenGL播放视频(YUV420P)

我在开发过程中Qt用的比较大,所以本系列主要界面由Qt开发。而Qt主要的特性是跨平台,在嵌入式平台中,主要使用QML进行界面开发,如果使用QML开发视频的话,就需要用到OpenGL了。本篇主要介绍常用的桌面版的QOpenGL的视频显示,桌面版解码的YUV数据格式为YUV420P,下一篇介绍QML版的视频显示,也是YUV420P格式的。解码流程图为:解码函数调用流程图为:显示流程为:解码显示流程:共分为三个部分。这个就比较简单,前面几篇文章一直在说这个,FFmpeg默认软解输出格式为YUV420P。这里需要注

2022-06-07 11:07:02 1434

原创 FFmpeg4入门08:软解并使用QWidget播放视频(YUV420P转RGB32)

前两篇介绍了视频帧解码和帧数据解码保存,都是不够实时和直观,本篇介绍使用Qt作为界面来显示解码后的数据。使用ffmpeg解码视频每一帧,因为比较耗时,所以独立一个线程。解码完成后的数据发送给界面,界面渲染显示图像数据,界面显示一个线程。解码流程和之前一样。解码流程图为:函数调用流程图为:视频显示流程图为:解码显示流程如下:解码部分解码部分和之前的一样,不过需要调整一下。像初始化变量、打开文件、分配解码器上下文、打开解码器等等,这些操作只需要一次,并且耗时很短,不需要放在独立线程里面

2022-05-30 15:11:15 961

原创 FFmpeg4入门07:解码视频并保存为YUV格式文件

上一篇我们解码并保存了其中的几帧确保解码过程和结果是对的。本篇我们将解码整个视频并保存为标准的YUV格式(YUV格式具体信息详见YUV格式介绍),我们就选YUV420P(I420)作为输出格式。保存文件需要对本地文件进行读写操作,那么首先要有文件操作指针,C为FILE,C++为iostream。以C为例。FILE *fp = fopen("result.yuv","w+b");扩展名任意,只要数据格式对就可以了,最好是把数据格式标识出来,比如:1280x720_yuv420p.yuv。与上一篇文

2022-05-23 14:47:09 1904

原创 FFmpeg4入门06:保存视频帧

上一篇介绍了解码的基本流程,获取了视频帧数,但是没有视频每一帧数据的解码操作。我们从视频中取出每一帧进行操作,我们已经分配了AVFrame内存,当我们转换它颜色空间时仍然需要一个位置来放置原始数据。我们使用av_image_get_buffer_size来获得我们需要的大小,并手动分配空间://一帧图像数据大小int numBytes = av_image_get_buffer_size(AV_PIX_FMT_RGB32, codecCtx->width, codecCtx->height

2022-05-16 15:49:01 1727 3

原创 FFmpeg4入门05:解码视频流过程

FFmpeg使用较多的就是解码视频了,我们先进行正常的解码流程,下一篇我们将解码视频前5帧并保存为图片。解码流程图为:函数调用流程图为:测试代码如下:#include <stdio.h>#include <stdlib.h>#include "libavcodec/avcodec.h"#include "libavfilter/avfilter.h"#include "libavformat/avformat.h"#include "libavutil/avut

2022-05-11 15:12:06 1147

原创 FFmpeg4入门04:输出视频信息

解析视频并输出视频信息,步骤为:测试代码:AVFormatContext *fmt_ctx = avformat_alloc_context();//创建对象并初始化int ret=0;char* fileName="/home/jackey/Videos/Sample.mkv";//文件地址do{ //打开文件 if ((ret = avformat_open_input(&fmt_ctx, fileName, NULL, NULL))<0) break;//C

2022-05-09 12:54:12 731

原创 FFmpeg4入门03:输出版本号

新建一个Qt纯C/C++项目.按照FFmpeg4入门0:准备添加头文件和配置文件。在main()中添加:unsigned codecVer = avcodec_version();printf("FFmpeg version is: %s, avcodec version is: %d\n.",FFMPEG_VERSION,codecVer);return 0;//编译器要求必须有返回值编译运行,输出为:FFmpeg version is: 4.2.2, avcodec version is:

2022-05-07 12:58:49 1420

原创 FFmpeg4入门02:开发组件

基本组件FFmpeg基本组件包括:可执行文件ffmpeg:该项目提供的一个工具,可用于格式转换、解码或电视卡即时编码等;ffsever:一个 HTTP 多媒体即时广播串流服务器;(4.x版本中已经没有了)ffplay:是一个简单的播放器,使用ffmpeg 库解析和解码,通过SDL显示;ffprobe:是一个多媒体流分析工具。它从多媒体流中收集信息,并且以人类和机器可读的形式打印出来。它可以用来检测多媒体流的容器类型,以及每一个多媒体流的格式和类型。它可以作为一个独立的应用来使用,也可以结合文本过

2022-05-01 14:15:34 385

原创 FFmpeg4入门01:编译

个性化开发FFmpeg需要会从源码开始编译出自己需要的库文件,后续进行源码级优化时也方便进行。FFmpeg官方代码下载地址:FFmpeg-githubgit克隆源码:git clone https://github.com/FFmpeg/FFmpeg码云下载地址(码云每天从官方仓库同步一次):FFmpeg-gitee下载好源码后,就可以编译了。Linux下使用的是GCC编译器和make工具,并且FFmpeg没有提供cmake编译文件,所以我们只能用configure来生成Makefile文件,然

2022-05-01 11:05:08 411

原创 FFmpeg4入门00:准备

FFmpeg开发需要完整(不完整也行)的C/C++知识。软件配置:我的操作系统是Manjaro Linux,所有的软件直接从Manjaro软件仓库中安装,保持更新。Makefile如果是纯C/C++开发的话,使用Makefile或者CMake编译。模板Makefile文件:无CMake如果使用cmake编译的话:无Qt配置如果使用Qt开发(我用的就是Qt),在*.pro文件中添加:unix{ #FFmpeg部分 INCLUDEPATH+=/usr/include

2022-04-27 15:54:39 427

VTK 9.3.0 VS2019+Qt5.15.2自编译Debug+Release版

基于VS2019编译 VTK9.3.0带Java/Python接口,带zlib/hdf5/Qt5/tiff/sqlite3/ppng/pugixml/qml/ogg/netcdf/lz4/lzma/libxml2/loguru/libproj/libharu/jsoncpp/jpeg/glew/gl2ps/freetype/fmt/expat库 含Debug/Release版

2024-04-16

CM Labs Vortex Studio 2024.01与Matlab R2022a交互模型

CM Labs Vortex Studio 2024.01与Matlab R2022a simulink交互模型,包含组合模型box.vxassembly、机械模型box.vxmechanism、Vortex Studio导出配置文件simulink.json、simulink模型vs.slx。

2024-02-29

osgEarth 3.5.0 VS2022 64位 Debug+Release 自编译版

GL2+OSG 3.6.5+Visual Studio 2022+64位 Debug+Relase版的exe/lib/pdb/dll 压缩包大小为150MB,解压后接近1GB

2024-02-28

OpenSceneGraph-3.6.5-VC2022-64-2023-01

objectxxx网站上作者自编译的OSG3.6.5,平台为Windows,环境为Vistual Studio 2022 64位,包含Debug和Release版,包含实用工具、头文件、静态库,支持VS2017、VS2019。 因为objectxxx网站下载太慢,用作加速。压缩包大小300MB,解压后大小1.9GB。 包含以下插件:curl 7.87.0 /FBX 2020.3.2/ffmpeg 4.4.1/freetype 2.12.0/GDAL 3.0.6/giflib 5.2.1/glut 3.7/libjpeg 9e/libpng 1.6.39/libtiff 4.5.0/minizip git/zlib 1.2.13

2024-02-01

Vortex Studio 2023.10 + Unreal Engine 4.27.2 自编译插件

Vortex Studio 2023.10 对应的 Unreal Engine 4.27.2 + Visual Studio 2019 自编译插件,用于在UE4中调用Vortex Studio引擎进行仿真

2023-12-29

ScitTools Understand 5.1.1029 Linux 64位

ScitTools Understand 5.1.1029 Linux 64位

2023-11-21

JieYuan 捷源 SciTools Understand 6.5.1159 Windows版 中文版 带补丁

JieYuan 捷源 SciTools Understand 6.5.1159 Windows版 中文版 带补丁

2023-11-09

SciTools Understand代码查看工具 6.5.1159 Windows版 英文版 带补丁

SciTools Understand代码查看工具 6.5.1159 Windows版 英文版 带补丁

2023-11-09

CTK 2023.07.13 Windows 10 + VS2019 自编译版

CTK 2023.07.13 版 使用Windows 10 22H2 + VS2019 16.11.31 + CMake 3.24.2 编译 包括Debug/Release/test等等相关库、程序

2023-10-25

Presagis 仿真软件Vega Prime 18.0参考手册

Presagis 仿真软件Vega Prime 18.0参考手册,chm+pdf格式,纯英文

2023-07-31

using-vaps-xt-syllabus-2018

加拿大Presagis VAPS XT初学者入门课程大纲 只有课程名称

2023-05-27

VAPS XT Getting Started v2.3

Presagis VAPS XT 2.3版本软件配套 使用手册 v2.3版本软件已经无法找到了,只有这一个pdf了。

2023-05-27

使用VS2010编译的OpenDDS3.8(Debug/Release版)

使用Windows10 VS2010编译的OpenDDS3.8 Win32版(Debug/Release版)

2022-08-14

Darknet/YOLOv4训练蒂法人脸识别模型

Darknet/YOLOv4训练蒂法人脸识别模型 文件包括:darknet源码+蒂法图片+蒂法图片标注数据+训练配置+训练结果权重+测试图片和视频+测试结果文件 训练教程:https://feater.top/darknet/tifa-with-yolov4 视频测试效果:https://www.bilibili.com/video/BV1qL4y1T7ZB/ 训练平台为戴尔G15 1511 8核16线程 nvidia3060 土豪专用链接

2022-03-29

计算机网络:自顶向下方法(原书第7版)课后习题参考答案 中文版

计算机网络:自顶向下方法(原书第7版 中文版)课后习题参考答案 中文版 中文版是我根据英文版,使用金山词霸翻译,由我进行校正。包含第1-8章。 可以查看中文版的在线页面:https://feater.top/book/compute-network-top-down-mothed-answer 如果有错误欢迎发邮件通知我更新。 土豪专用链接

2022-03-28

VAPS_XT_4.2.1_Docs.7z

VAPS XT4.2.1版本软件安装完毕后软件文件夹中包含的文档,纯英文,CHM+PDF格式 土豪专用链接

2022-03-04

VAPS_XT_4.1.1_Docs.7z

VAPS XT4.1.1版本软件安装完毕后软件文件夹中包含的文档,纯英文,CHM+PDF格式 土豪专用链接

2022-03-04

VAPS_XT_4.0.1_Docs.7z

VAPS XT4.0.1版本软件安装完毕后软件文件夹中包含的文档,纯英文,CHM+PDF格式 土豪专用链接

2022-03-04

VAPS_XT_4_1_Docs.7z

VAPS XT4.1版本软件安装完毕后软件文件夹中包含的文档,纯英文,CHM+PDF格式 土豪专用链接

2022-01-04

EasyDarwin aarch64 8.1.0自编译版软件

Easydarwin官方提供了Windows和Linux版,我在Ubuntu16.04用交叉编译方式编译的ARM版,目前运行在全志T7平台上 土豪专用链接

2021-12-31

Understand-5.1.1029-Windows-64bit.exe

Understand代码查看工具5.1版,官网已经不提供下载了,土豪专用链接

2021-12-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除