基于FPGA-VERILOG语言的DS18B20温度检测带上位机UART串口控制

这是我的第一个CSDN博客,略显激动。。。

解释我上传的基于FPGA的DS18B20温度传感器的用法

话不多说看图

这样设置就好了

发送过去的 01 01 负责打开数码管, 02 ff 03 7f 设置温度上下限(为负值最高为1), 04 00 温度转换开关,05 01打开温度报警1为报警,06 00 07 00 08 00 09 00 0a 00 0b 00打开温度反馈上传

串口反馈回来的前两个字节表示温度传感器给FPGA的16位的值,后面4字节是转换的温度值,额,当前温度26.25°,刚刚好挺舒服的。。。

此时FPGA的6个数码管上应该现实温度值,并且会随着温度变化而变动

评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值