获取时间段内随机时间点

public static void main(String[] args){

    Date start = DateUtils.parseDate("2018-01-12 00:00:58","yyyy-MM-dd HH:mm:ss");

    Date end= DateUtils.parseDate("2018-01-12 00:08:58","yyyy-MM-dd HH:mm:ss");

    Date middleTime = getMiddleTime(start,end);

}

public static Date getMiddleTime(Date start,Date end){

    Long startL = start.getTime();

    Long endL = end.getTime();

    Long middleL = (long)startL + (endL-startL)*Math.random();

    return new Date(middleL);

}

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
您是在问如何在Verilog中实现在一段时间随机触发的功能吗? 在Verilog中,您可以使用`$random`系统函数来生成随机数。结合使用`$time`系统函数可以获取当前的模拟时间。您可以通过比较模拟时间与预设的时间范围来触发某个事件。 以下是一个示例代码片段,展示了如何在一段时间随机触发一个事件: ```verilog module RandomTrigger ( input wire clk, output reg trigger ); reg [31:0] start_time; reg [31:0] current_time; reg [31:0] duration; // 触发的时间范围 initial begin start_time = $time; // 记录开始时间 duration = 100; // 设置触发的时间范围为100个时间单位 end always @(posedge clk) begin current_time = $time; // 获取当前时间 if (current_time - start_time >= duration) begin if ($random % 2 == 0) begin // 当前时间超过触发时间范围,并且随机数为偶数时触发 trigger <= 1'b1; end else begin trigger <= 1'b0; end end else begin trigger <= 1'b0; end end endmodule ``` 以上代码中,使用了一个时钟信号`clk`作为模拟时间的驱动,`trigger`是一个输出信号,用于表示是否触发事件。在`initial`块中,我们记录了开始时间和设置触发的时间范围。在`always @(posedge clk)`块中,通过比较当前时间与开始时间以及触发时间范围,来决定是否触发事件。同时,使用`$random`函数来生成随机数,并通过判断随机数的奇偶性来触发事件。 请注意,以上代码仅为示例,实际应用中可能需要根据具体需求进行修改。同时,Verilog中的随机数生成函数`$random`并不是真正的随机数生成器,它生成的数值是伪随机的。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值