自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 资源 (2)
  • 收藏
  • 关注

转载 2021-06-15

ubuntu中的sh是通过bash 进行软连接得到的。万幸没有删除sh的爸爸。所以,最终的解决方法为:1、进入tty1(ctrl + alt +F1)界面,输入用户名和密码。2、使用root权限。3、在根目录下使用以下命令:cd /binmv sh sh_zsh (当时因为害怕和之前删本机sh一样出现bug,就对它进行了重命名,而没有使用rm进行删除)ln -s bash sh (添加bash->sh的软连接)4、命令行重启:reboot————————————————原文链接:h

2021-06-15 14:38:24 111

原创 PetaLinux的工程的create、config和Build(基于ZC706的BSP(板级支持包))

基于ZC706的BSP的PetaLinux一、在Xilinx官网下载资源二、安装PetaLinux三.根据ZC706的板级支持包创建工程四.配置五. Build一、在Xilinx官网下载资源下载链接如下:https://china.xilinx.com/support/download/index.html/content/xilinx/zh/downloadNav/embedded-design-tools.html主要下载两个东西:1)PetaLinux的安装包;2)ZC706的板级支持包。需

2021-02-03 10:57:46 1328 1

转载 Ubuntu18.04进不了图形界面的解决方法

参考这里:https://www.cnblogs.com/longchang/p/11833658.htmlsudo apt install ubundu-desktopsudo apt install unitysudo service gdm3 start

2021-01-28 18:18:23 1958 2

原创 lowRISC的Ibex项目Hello World的仿真

lowRISC的Ibex项目Hello World的仿真记录一下Ibex在Linux上仿真Hello World的具体流程运行环境本文采样的运行环境是 Ubuntu18.04安装各种包这里安装的包主要是为@Override public void run() { bytes = mmInStream.read(buffer); mHandler.obtainMessage(READ_DATA, bytes, -1, buffer)

2021-01-18 15:39:53 4548 14

原创 RISC-V处理器结构设计相关知识——01执行阶段数据冲突

01执行阶段数据冲突执行的指令类型1 WAR(写后读)相关性2 RAW(读后写)相关性3 WAW (Write-After-Write) 相关性执行的指令类型单周期执行指令:交付功能和写回功能均在流水线的第二级便完成了交付,同时将结果写回regfile。多周期执行指令:这种指令通常需要多个周期才能完成执行并写回,称为"后交付长流水线指令"RISCV处理器一般是按顺序派遣、按顺序写回的微架构,在每条指令被进行派遣时,需要检查是否和之前的派遣执行尚未写回的指令存在数据相关性。1 WAR(写后读)相关性

2020-12-13 13:19:27 1016 1

原创 IC知识积累——07_if else在组合逻辑块中的应用

代码来源于PULpino译码器generate if (APU == 1) begin : apu_op_preparation if (APU_NARGS_CPU >= 1) assign apu_operands[0] = alu_operand_a; if (APU_NARGS_CPU >= 2) assign apu_operands[1] = alu_operand_b; if (APU_NARGS_CPU >=

2020-11-20 12:11:19 670

原创 IC知识积累——06_RISCV寄存器组的代码

RISCV寄存器组代码// Copyright 2017 ETH Zurich and University of Bologna.// Copyright and related rights are licensed under the Solderpad Hardware// License, Version 0.51 (the \u201cLicense\u201d); you may not use this file except in// compliance with the Lic

2020-11-20 10:46:01 439

原创 IC知识积累——05_for循环的使用

for的使用示例: genvar i,l; generate //----------------------------------------------------------------------------- //-- WRITE : Write operation //----------------------------------------------------------------------------- always_ff @(posedg

2020-11-16 10:39:30 155

原创 IC知识积累——04_localparam的使用

Localparam的使用除了常规用法外,localparam还可以添加一些逻辑运算代码,示例如下: // number of integer registers localparam NUM_WORDS = 2**(ADDR_WIDTH-1); // number of floating point registers localparam NUM_FP_WORDS = 2**(ADDR_WIDTH-1); localparam NUM_TOT_WORDS

2020-11-16 10:29:29 1461

原创 IC知识积累——03_ASSIGN语句的用法

ASSIGN也可以写在判断语句里示例如下: if (FPU == 1) begin assign rdata_a_o = raddr_a_i[5] ? mem_fp[raddr_a_i[4:0]] : mem[raddr_a_i[4:0]]; assign rdata_b_o = raddr_b_i[5] ? mem_fp[raddr_b_i[4:0]] : mem[raddr_b_i[4:0]]; assign rdata_c_o = raddr_c_i[5]

2020-11-16 10:24:43 1924

原创 IC知识积累——02_SystemVerilog unique 和 priority

unique使用方法:unique case(<case_expression>)...//条件选项endcase其作用等效于同时使用verilog中的full_case及parallel_case。**parallel_case:**在Verilog中使用的方式是case (CASE_SIGNL) // synopsys parallel_case上述语句是告诉综合器,不需要产生优先级逻辑,从而缩小了硬件的规模。缺点是,设计者要保证所有的case不会同时发生,否则cas

2020-11-13 11:12:50 1542

原创 IC知识积累——01_SystemVerilog结构体的创建与使用

system verilog中增加了类似C语言中的结构体类型,可以表示相关的信号的组合。结构体声明:1、变量声明:var struct { // 通过var进行结构体变量声明 logic [31:0] a, b; logic [ 7:0] opcode;} Instruction_Word_var;2、wire类型结构体声明//结构体线网声明,定义为线网类型时,结构体的所有成员必须都是四态类型的 wire struct { logic [31:0] a, b; logic

2020-11-12 16:29:20 1446

原创 江蒙的自学之旅——01什么是机器学习

这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Mar

2020-11-11 11:30:25 107

AD603自动增益放大器.zip

自动增益放大器(AD603+PID调节增益):包括了硬件设计电路与软件电路。硬件电路的设计是使用Multisim设计的,都通过了测试;软件代码开发是在STM32F407ZET6这个板子上实现的,运用了ADC采样与PID实现增益调节。

2019-07-01

运用STM32F407写的FFT,分辨率是1Hz。可以测量信号频率,以及谐波分析,失真度。另外还可以测量两个波形的相位差

运用STM32F407写的FFT,分辨率是1Hz。可以测量信号频率,以及谐波分析,失真度。另外还可以测量两个波形的相位差

2019-04-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除