时序分析的基本概念和术语

一、发起沿和捕获沿

发起沿:数据发送的时钟沿叫发起沿。
捕获沿:数据接收的时钟沿叫捕获沿。
发起沿和捕获沿之间一般情况下相差一个时钟周期。

二、四种时序路径模型

在这里插入图片描述
1.外部输入端口到内部寄存器的路径。
2.内部寄存器之间的时序路径。
3.内部寄存器到外部端口的时序路径。
4.输入到输出的组合路径。

上述四种时序路径的起点和终点
start end point
1.Device A/clk rega/D
2.rega/clk regb/D
3.regb/clk Device B/D
4.input output
事实上前3类时序路径我们可以归结为一类:从一个寄存器的时钟端口到另一个寄存器的数据端口。只不过它们有可能在芯片内部,有可能从外部到内部。

时序模型的抽象
在这里插入图片描述

三、数据到达时间、时钟到达时间、数据需求时间

数据到达时间的概念:数据从发送沿发起之后,经过多久时间到达接收寄存器的数据端口。既然计算时间,我们需要有时间的基准点,默认将发送沿作为0时间发送点。
在这里插入图片描述
数据到达时间=时钟传输延时+寄存器传输延时+组合逻辑延时
在这里插入图片描述
时钟到达时间指的是时钟从发起沿开始到达目的寄存器的时间,时间的基点是时钟的发起沿。
clock arrival time = capture edge + Tclkb ;而捕获沿和发起沿之间有一个时钟周期,所以时钟到达时间clock arrival time = clock period + Tclkb

数据需求时间–建立时间
在这里插入图片描述
目的寄存器要正确地捕获数据,因为寄存器的建立时间要求,数据必须提前Tsu到达目的寄存器。要使目的寄存器正确地采集到数据必须在捕获沿提前Tsu到达,同时考虑到时钟抖动,所以数据需求时间为
data required time = clock arrival time - Tsu - set up uncertainty

数据需求时间–保持时间

在这里插入图片描述
当捕获到数据之后,数据还应该稳定地存在一定时间,这个时间叫保持时间。换句话说新的数据不能提前到,否则就将现在的数据冲了。
data_require_tiem=clock arrival time + Th + Hold uncertain
同时,我们也可以知道数据的有效窗口就是Tsu+Th,它们共同构成了数据的有效窗口。

建立时间裕量

建立时间裕量=数据需要时间-数据达到时间
在这里插入图片描述
数据需要时间=clk_period + Tclkb - Tsu
数据到达时间=Tclka + Tco + Tdata
保持时间裕量

在这里插入图片描述
数据经过时钟延时+寄存器传输延时+组合逻辑延时到达regb的D端口,被regb采集下来,要使数据稳定,数据必须保持一定时间不变,不能被新数据的刷了。所以保持时间裕量=新数据到达时间-保持需要时间。
建立时间裕量和保持时间裕量
在这里插入图片描述
从上图可以看出建立时间裕量=数据需求时间-数据到达时间
保持时间裕量=新的数据到达时间-数据需求时间
在这里插入图片描述
此公式适用于fpga内部、IO、异步控制等。如果裕量为正,满足要求,反之不满足要求。

为什么会出现建立和保持时间的负裕量?

在这里插入图片描述
建立时间负裕量说明,说明数据到达时间小于数据需要时间。即就是数据到达时间太晚,无法满足建立时间。数据延时太大了。
保持时间负裕量说明数据需求时间太大了,也就是说时钟的延迟太大了。
由此可知建立时间不满足,是数据延迟太大,保持时间不满足是时钟延迟太大了。
Tdata=Tlogic+ Tnet 组合逻辑延时和走线延时,Tlogic和代码风格有关系,Tnet是延时过大与布线策略有关系,所以建立时间不满足要从代码风格和布线策略入手。

  • 11
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
手把手课堂: Xilinx FPGA设计时序约束指南 Xilinx FPGA设计时序约束指南是一份详细的技术指南,旨在帮助设计人员在Xilinx FPGA的设计过程中正确地应用时序约束。该指南提供了关于时序约束的基础知识和最佳实践,并详细介绍了如何使用Xilinx工具来设置和验证时序约束。 在设计FPGA时,时序约束对于确保电路操作的正确性和可靠性至关重要。时序约束指定了电路中不同信号之间的时序关系,例如时钟和数据信号之间的关系。通过正确地设置时序约束,可以确保电路在特定的时钟频率下工作正常,并且可以防止出现时序冲突和故障。 Xilinx FPGA设计时序约束指南首先介绍了时序约束的基本概念,包括时钟信号和数据路径的相关术语和特性。然后,指南详细解释了如何使用Xilinx工具(如Vivado)来设置时序约束,包括使用基于约束文件的约束方法和使用基于时序推导的约束方法。通过这些方法,设计人员可以根据设计需求和时序规范准确地定义时序约束。 此外,该指南还介绍了如何验证时序约束的正确性。通过使用Xilinx工具提供的时序分析功能,设计人员可以分析和优化电路的时序性能,确保设计满足指定的时序要求。指南还提供了一系列实际的案例研究和常见问题解答,帮助设计人员更好地理解和应用时序约束。 综上所述,Xilinx FPGA设计时序约束指南是设计人员在进行FPGA设计时的重要参考资料。通过使用该指南提供的指导和实践经验,设计人员可以更好地应用时序约束,确保电路的时序性能和可靠性,提高FPGA设计的成功率和效率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值