x的平方根

题目

实现 int sqrt(int x) 函数。
计算并返回 x 的平方根,其中 x 是非负整数。
由于返回类型是整数,结果只保留整数的部分,小数部分将被舍去。

示例 1:
输入: 4
输出: 2

示例 2:
输入: 8
输出: 2
说明: 8 的平方根是 2.82842…, 由于返回类型是整数,小数部分将被舍去。

袖珍计算器算法

「袖珍计算器算法」是一种用指数函数 exp 和对数函数 ln 代替平方根函数的方法。我们通过有限的可以使用的数学函数,得到我们想要计算的结果。
在这里插入图片描述
注意: 由于计算机无法存储浮点数的精确值(浮点数的存储方法可以参考 IEEE 754,这里不再赘述),而指数函数和对数函数的参数和返回值均为浮点数,因此运算过程中会存在误差。例如当 x = 2147395600 时,e^(1/2)lnx
的计算结果与正确值 4634046340 相差 10^(−11),这样在对结果取整数部分时,会得到 4633946339 这个错误的结果。
因此在得到结果的整数部分 ans 后,我们应当找出 ans 与 ans+1 中哪一个是真正的答案。

class Solution 
{
public:
    int mySqrt(int x) 
    {
        if (x == 0) 
        {
            return 0;
        }
        int ans = exp(0.5 * log(x));
        return ((long long)(ans + 1) * (ans + 1) <= x ? ans + 1 : ans);
    }
};

复杂度分析

时间复杂度:O(1),由于内置的 exp 函数与 log 函数一般都很快,我们在这里将其复杂度视为 O(1)。

空间复杂度:O(1)。

二分查找

由于 x 平方根的整数部分 ans 是满足 k^2 ≤x 的最大 k 值,因此我们可以对 k进行二分查找,从而得到答案。
二分查找的下界为 0,上界可以粗略地设定为 x。在二分查找的每一步中,我们只需要比较中间元素 mid 的平方与 x 的大小关系,并通过比较的结果调整上下界的范围。由于我们所有的运算都是整数运算,不会存在误差,因此在得到最终的答案 ans 后,也就不需要再去尝试 ans+1 了。

class Solution 
{
public:
    int mySqrt(int x) 
    {
        int l = 0, r = x, ans = -1;
        while (l <= r) 
        {
            int mid = l + (r - l) / 2;
            if ((long long)mid * mid <= x) 
            {
                ans = mid;
                l = mid + 1;
            } 
            else 
            {
                r = mid - 1;
            }
        }
        return ans;
    }
};

复杂度分析

时间复杂度:O(\log x)O(logx),即为二分查找需要的次数。

空间复杂度:O(1)O(1)。

牛顿迭代

思路
牛顿迭代法是一种可以用来快速求解函数零点的方法。
为了叙述方便,我们用 C 表示待求出平方根的那个整数。显然,C 的平方根就是函数
y = f(x) = x^2 - C
的零点。

牛顿迭代法的本质是借助泰勒级数,从初始值开始快速向零点逼近。我们任取一个 x0作为初始值,在每一步的迭代中,我们找到函数图像上的点 (xi,f(xi)),过该点作一条斜率为该点导数 f′(xi) 的直线,与横轴的交点记为 xi+1。xi+1
相较于 xi 而言距离零点更近。在经过多次迭代后,我们就可以得到一个距离零点非常接近的交点。下图给出了从 x0 开始迭代两次,得到 x1 和 x2 的过程。
在这里插入图片描述
算法
我们选择 x0=C 作为初始值。
在每一步迭代中,我们通过当前的交点 xi,找到函数图像上的点 (xi,xi^2−C),作一条斜率为 f′(xi)=2xi 的直线,直线的方程为:
在这里插入图片描述
与横轴的交点为方程 2xix−(xi2+C)=0 的解,即为新的迭代结果 xi+1:
在这里插入图片描述
在进行 k 次迭代后,xk 的值与真实的零点 C^(1/2) 足够接近,即可作为答案。

细节
为什么选择 x0=C 作为初始值?
在这里插入图片描述
迭代到何时才算结束?

每一次迭代后,我们都会距离零点更进一步,所以当相邻两次迭代得到的交点非常接近时,我们就可以断定,此时的结果已经足够我们得到答案了。一般来说,可以判断相邻两次迭代的结果的差值是否小于一个极小的非负数 ϵ,其中 ϵ 一般可以取 10^-6 或 10 ^−7。

如何通过迭代得到的近似零点得出最终的答案?
在这里插入图片描述
真正的零点为 n − 1/2ϵ,其中 n 是一个正整数,而我们迭代得到的结果为 n+1/2ϵ。在对结果保留整数部分后得到 n,但正确的结果为 n−1。

class Solution 
{
public:
    int mySqrt(int x) 
    {
        if (x == 0) 
        {
            return 0;
        }

        double C = x, x0 = x;
        while (true) 
        {
            double xi = 0.5 * (x0 + C / x0);
            if (fabs(x0 - xi) < 1e-7) 
            {
                break;
            }
            x0 = xi;
        }
        return int(x0);
    }
};

复杂度分析

时间复杂度:O(logx),此方法是二次收敛的,相较于二分查找更快。

空间复杂度:O(1)。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

阳光开朗男孩

你的鼓励是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值