安装Zorin os

Zorin os是我用过界面最好看的linux系统。

大多数linux系统都参照这里的方法安装,按照安装Ubuntu的也可以:https://blog.csdn.net/qq_36780295/article/details/107999586

1、制作系统盘:

(1)准备:

存储器(U盘内存卡----我买了很多张16G的内存卡,一个内存卡里一个系统)

UltraISO软件

100g磁盘空间(空间大小随意,有个十几g也可以装,只是系统中放不了多少东西)

100g磁盘空间可以通过压缩本地的磁盘:
右键此电脑->管理->磁盘管理
假设最大的盘是E盘,如果E盘有超过100g的剩余空间,那就在磁盘管理中右键,选择压缩卷,计算一下,剩个100g的空间,然后对E盘进行压缩,时间有点长,等待压缩的完成。

(2)下载镜像:

下载地址:https://zorinos.com/download/

一共4个版本,其中只有旗舰版是收费的,用的比较多的是lite版本。按照顺序点击页面中的download就可以了。下载时建议把链接复制到迅雷下载,速度可以达到10m/s左右。
这里我下载lite版本为例。
在这里插入图片描述

(3)UltralSO烧录镜像:

下载UltralISO 试用版
下载链接:http://cn.ultraiso.net/xiazai.html
(下载试用即可,运行时可能被windows阻止,选详细信息,仍要运行。之后打开提示购买,点击右下的继续试用)

插入存储器,打开UltralISO
在这里插入图片描述
点击文件->打开->选择下载好的Zorin OS镜像
在这里插入图片描述
点击启动->写入硬盘镜像
在这里插入图片描述
在这里插入图片描述
点击写入,等待写入完成,然后就可以开始安装了。

2、安装系统:

(1) 在主机插入做好的系统盘,重启主机。开机时按下F11F12,进入与存储器同名的存储器的镜像系统。选择Try or install Zorin OS


如果读取系统时提示找不到存储器地址,将存储器拔出,然后马上再插回去就能读取到了。

在这里插入图片描述
(2)打开桌面上的Zorin OS安装软件:
在这里插入图片描述
(3)设置语言:
在这里插入图片描述
(4)设置键盘布局:
在这里插入图片描述

(5)最好连接一个网络,后面会下载软件包,而且不连接网络有可能会出现错误。
在这里插入图片描述
(6)可以按图中选项勾选,密码要符合标准。
在这里插入图片描述
(7)一定选择其他选项,不要把windows的数据覆盖了。
在这里插入图片描述
(8)给压缩出来的空闲区域划分linux分区。

以我的100G空间为例,其他大小可以按比例来算:

主分区:
大小:30G=30720MB 主分区 用于Ext4 挂载点:/
交换空间:
大小: 4G=4096MB 逻辑分区 用于:交换空间
tmp:
大小:2.5G=2560MB 逻辑分区 用于Ext4 挂载点:/tmp
boot:
大小:1G=1024MB 逻辑分区 用于Ext4 挂载点:/boot
home (尽可能大) 
大小:剩下的全部空间 逻辑分区 用于Ext4 挂载点:/home
(如果不需要再安装其他系统了的话,100G剩下的空间就全部分配给home吧)

在这里插入图片描述
(9)防止安装过程报错,这里我单独为EFI系统分区bios启动区分别划分200M的空间。
在这里插入图片描述

在这里插入图片描述

(10)选择地区,这里先是在上方地图中点选所在区域,再在下面的输入框中输入地区。
在这里插入图片描述
(11)设置用户名和密码。
在这里插入图片描述

(12)下载软件包和系统配置。
这一步装了五六个小时,如果点击skip会跳过单个步骤。有些软件包下载速度实在慢,可以选择性跳过,安装完成后再在系统中添加国内镜像源,然后用apt装。
在这里插入图片描述

(13)重启并把系统盘存储器拔掉,如果zorin os没有优先启动,就再重启,然后开机时按F11F12,启动Ubuntu打头的系统。

在这里插入图片描述
(14)打开系统,不得不说,界面是真的好看。
在这里插入图片描述

  • 6
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
三态门是一种在Verilog中常用的逻辑门,用于实现双向接口。三态门的工作原理是通过控制信号来控制门的开关状态,从而实现数据的输入和输出。当控制信号为1时,门处于高阻态,输出为高阻态;当控制信号为0时,门开通,输入信号可以通过门传递。在Verilog中,三态门可以使用module来描述,具体的代码描述如下所示: module v_three_st_2 (T, I, O); input T, I; output O; assign O = (~T) ? I: 1'bZ; endmodule 在这段Verilog代码中,T表示控制信号,I表示输入信号,O表示输出信号。当T为1时,O处于高阻态;当T为0时,O等于输入信号I。根据控制信号T是高有效还是低有效,三态门的行为会有所不同。根据上述代码描述的逻辑,当T为1时,O处于高阻态,对应于输入端口;当T为0时,O等于输入信号I,对应于输出端口。 三态门在Verilog中常用于双向接口,比如FLASH的数据接口。在写入数据时,数据接口作为输出端口接收FLASH控制器传来的数据;在读取数据时,数据接口作为输入端口,将从FLASH内部读取的数据传递给FLASH控制器。这种双向接口可以通过使用三态门来实现。 总结起来,三态门是一种在Verilog中常用的逻辑门,用于实现双向接口。通过控制信号来控制门的开关状态,从而实现数据的输入和输出。在编写三态门的Verilog代码时,需要根据实际情况确定控制信号的有效性,同时保证与原语保持一致。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [2022-5-20](https://blog.csdn.net/A15619228315/article/details/124881909)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [verilog之三态门用法](https://blog.csdn.net/qq_47891174/article/details/122005422)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值