自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 FPGA学习笔记-3、计数器设计与验证

计数器1、设计定义:实验现象:LED在计数器的控制下,每500ms状态翻转一次,即LED亮灭的一个周期为1s。FPGA所用的时钟为50mHZ或100mHZ,频率较高,对应的周期为10ns或20ns,而要想得到500ms的时间,则需要对系统时钟进行计数。500ms=500_000_000ns/20=25_000_000个时钟周期。2、设计输入:module conter(Clk50M,Res_n,led) input Clk50M;//系统时钟50M input Res_n;//全局复位,,

2020-10-30 17:28:47 1008 1

原创 FPGA学习笔记-2、译码器

3-8译码器ABCOUT0000000_00010010000_00100100000_01000110000_10001000001_00001010010_00001100100_00001111000_0000

2020-10-30 10:20:59 339

原创 FPGA学习笔记-1、FPGA开发流程

1、FPGA开发流程开发流程1、设计定义(QuartusⅡ13.0.1)2、设计输入3、分析与综合4、功能仿真(modelsim-altera)5、布局布线6、时序仿真(modelsim-altera)7、IO口分配以及配置文件的生成(QuartusⅡ13.0.1)8、配置(烧写FPGA)9、在线调试(。。。)例程:通过按键控制LED(低电平)————二选一多路器1、设计定义:两个IO口(a,b),可以是高电平,也可以是低电平输入按键按下时,LED灯与a端口状态保持一致输入按键

2020-10-29 16:11:46 370 1

原创 导航文件——欢迎使用CSDN-markdown编辑器

这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Mar

2020-10-19 14:47:48 234

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除