自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(22)
  • 收藏
  • 关注

原创 车载测试流程总结

车载测试流程

2023-11-19 10:58:27 415

原创 CANalyer基本使用-1

CANalyer的基本使用

2022-08-18 15:19:41 1290 1

原创 javaweb 环境搭建

记一次javaweb环境搭建1.虚拟机环境搭建1.1安装centos7这里用的是win10系统和VM ware虚拟机15,网上安装教程很多我推荐的是这位大佬的虚拟机安装sentos71.2安装图形界面但他中间磁盘分了区的,我因为懒放弃这一步就选磁盘安装就行了,而且我推荐的是阿里云的那个源下载,比较快。当安装时一定要联网,联网,联网!!!,不然后面会有很多麻烦。最后安装重启是字符界面,由于笔者不是太喜欢,就打算安装图形界面。参考的是这位大佬的centos7安装图形界面但最后切换到图形界面我用的是

2021-04-15 19:51:00 173

原创 考研手撕排序总结

排序1.插入类排序1.1直接插入排序将待排的关键字按照值的大小插入到已排好的序列上,时间复杂度为n^2,该排序不能每一趟确定位置。void InsertSort(int R[],int n){ int i,j; int temp; for(i=1;i<n;++1) { temp=R[i]; //待插关键字放在temp上 j=i-1; while(j>=0&&temp<R[j]) //开始扫描,大于关键字后移 {

2020-12-15 20:56:38 135

原创 简单的算法基础

算法基础设计一个算法,将顺序表L的所有的元素逆置,要求算法空间复杂度O(1)void Reverse(SqList &L){ int i; ElemType x; for(i=0;i<L.length/2;i++) { x=L.data[i]; L.data[i]=L.data[L.length-i-1]; L.data[l.length-i-1]=x; } }向一个有序链表插入元素X后,链表任然有序void Insertorder(SlinkNo

2020-11-30 16:39:07 1038

原创 考研数据结构大题篇

数据结构总结1 绪论2 线性表的存储3 串4 线性表5 树6 图7 查找8 排序

2020-11-08 21:21:15 4746 2

原创 小白pwn之旅之pwnable10

Pwnable-----Brain Fuck1.准备将这两个文件下载到ubuntu.什么是.so文件?SO文件格式即ELF文件格式,它是Linux下可执行文件,共享库文件和目标文件的统一格式。这个像是一个依赖。2.分析还是老样子,我们看看文件属性1.将bf拖到我们的破解大法IDA pro中看看main()中的三个函数:puts() ,memset(), fget(). 再联想到题目竟然给出了libc.so 这多次一举的做法明显是让我们通过修改GOT表,从而修改函数。刚好 m

2020-05-28 09:05:31 209

原创 小白pwn之旅之pwnable09

pwnable----unlink,blukat和horcruxerunlink看源代码#include <stdio.h>#include <stdlib.h>#include <string.h>typedef struct tagOBJ{ struct tagOBJ* fd; struct tagOBJ* bk; c...

2020-05-07 09:43:45 178

原创 小白pwn之旅之pwnable08

pwnable----cmd2和uafcmd2开局还是个坑,还要将cmd1的flag做出来才能连上ssh这题和迁移题cmd1差不多主要是多了一个过滤‘/'这个这是大佬不错的解题思路就能cat flaguaf由于这题是c++函数继承的相关问题,我也不是很懂uaf是什么?有大神已经说好了一切有了这些就可以写攻击脚本get shell...

2020-05-04 12:54:34 171

原创 小白pwn之旅之pwnable07

pwnable----lotto和cmd1lotto连上去看源代码,发现关键代码分析输入一个 6 字节的字符串,与程序随机生成的 6 字节字符串比较。看第一个for循环这里是一个acsii码1-45,但可显示的是从33-45,第二个for循环嵌套的意思是将输入的6个字节与系统的生成的6个字节只要有一个相同就能cat flag。这里我选的的’’’’’’cmd1连接上去,看...

2020-05-03 09:53:28 202

原创 小白pwn之旅之pwnable06

pwnable-----coin1和blackjackcoin1看题,连上去,发现要做题还要在60秒做一百题(怎么可能嘛)分析就写脚本开始,(题中又说网络不好可以在服务器端写,嘿嘿)那直接连接上一题 ssh shellshock@pwnable.kr -p2222 (pw:guest) 。就行了,在cd /tmp下写就好了这里你会发现已经有大佬写好了的(真好)叫coin.py...

2020-05-02 17:41:30 167

原创 小白pwn之旅之pwnable05

pwnable-----mistack和shellshockpwnable看源码#include <stdio.h>#include <fcntl.h>#define PW_LEN 10#define XORKEY 1void xor(char* s, int len){ int i; for(i=0; i<len; i++){ s[i]...

2020-05-01 11:56:43 205

原创 小白pwn之旅之pwnable04

pwnable-----input和leginput由于上传文件在远端无法link flag文件,我放弃了。这里放一个博主写得好的解题思路。 写得很好leg这是一道汇编题只有当key=key1+key2+key3就能cat flag。这里是我认为不错的解题思路最后就能cat flag总结:1.库函数有些还是忘了,最后在远端不知道怎么运行,没有看到flag2.汇编还是不熟...

2020-04-30 18:31:13 130

原创 小白pwn之旅之pwnable03

pwnable—passcode和randompasscode1.先看代码#include <stdio.h>#include <stdlib.h>void login(){ int passcode1; int passcode2; printf("enter passcode1 : "); ...

2020-04-29 15:31:35 162

原创 小白pwn之旅之pwnable02

pwnable----bof和flagbof是溢出flag是加了壳bof直接访问上面的两个网址,下载bof和bof.c。用file bof查看bof文件,并用IDA打开2.查看源代码bof.c#include <stdio.h>#include <string.h>#include <stdlib.h>void func(int k...

2020-04-28 10:12:16 190

原创 小白pwn之旅之pwnable01

pwnable----fd和collsion本人是萌新无意间看到这个pwnable.kr的网站,来学习学习,嘿嘿。这里是链接这里的一个小tip。由于我用的是Ubuntu18.04版本在用ssh连接时报错,记录一下解决方法。vim /etc/host再将pwnable.kr的IP地址加在后面就行了fdssh链接网址ssh fd@pwnable.kr -p2222输入默认密码...

2020-04-27 18:23:11 510

原创 xshell安装及使用

xshell安装和使用一 安装xshell这里是下载连接邮箱一定是真实的在你的邮箱中会有一个网址,点击就可以下载。安装就是傻瓜式的安装,一直next二 使用xshell首先检测自己的liunx系统安装有ssh(这里我用的Ubuntu)sudo apt-get install openssh-server打开xshell填写完成后就可以点确定在填写你虚拟机的账号和密码...

2020-03-12 11:39:32 282

原创 linux下vim的学习

vim的安装sudo apt-get install vim查看vim的版本vimvi的使用打开方式vim [文件名]基本上vi可以分为三种状态,分别是一般模式、编辑模式和命令行模式,各模式的功能区分如下:一般模式:以vi打开一个文件就直接进入一般模式了(这是默认的模式)。在这个模式中, 你可以使用上下左右按键来移动光标,你可以使用删除字符或删除整行来处理文件内容, 也可以...

2020-02-12 10:09:16 103

原创 VHDL复习

VHDL复习之路一.程序结构与数据对象程序结构:库与程序包的调用语句构成的模块描述电路信号端口和参数通道的实体具体描述电路功能的结构体库:library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;实体:entity pao ispo...

2020-01-08 17:45:34 2318 2

原创 STM32复习之路——按键控制流水灯中断

stm32复习之路1STM32性能与结构这里介绍的是STM32F103VET6,价格便宜,实用性强,其中的V为100引脚,E表示512K的FLASH,T表示封装形式为LQFP,6表示可以用的温度范围。系统结构分析1.Cortex-M3 CPU所在之处是大脑;2. 总线矩阵,就是总线开关,具有仲裁功能;3. 闪存flash通过flash端口连接cpu;4. 静态存储器(SRAM)通过总...

2019-12-19 09:45:22 6908 3

原创 mysql的简单使用

MySQL简单使用*最近一个月在学习MySQL数据库的简单操作,在这里写一些自己的心得提会,有错改之,无则加勉。MySQL数据库的安装,这里我使用的phpstudy_pro集成环境,它有php,MySQL和apache组成,这个对新手比较友好。MySQL的使用1.使用这条命令需要在你的MySQL安装目录下运行。mysql -u root -p2.然后需要输入root账号的密码,p...

2019-10-15 20:43:33 300

原创 虚拟机12.pro安装并安装Ubuntu18.04版本

虚拟机12.pro安装并安装Ubuntu18.04版本环境:win10企业版VMware workstation 12 proubuntu 18.041.安装虚拟机VMware workstation 12 pro首先打开安装安装程序勾选相应的选项在选择你安装的路径,这里我只把它改为了D盘然后就是下一步等待安装2在虚拟机安装Ubuntu18.04打开安装好的虚拟机选择典型...

2019-09-07 09:25:24 870 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除