出租车计价:某城市普通出租车收费标准如下:起步里程为3公里,起步费10元:超过起步里程后10公里内即每公里2元;

C语言程序设计(第3版) 何钦铭 颜晖 主编。
出租车计价:某城市普通出租车收费标准如下:起步里程为3公里,起步费10元:超过起步里程后10公里内即每公里2元;超过10公里以上的部分加收50%的空驶补贴费,即每公里3元. 运营过程中,因路阻及乘客要求临时停车的,按每5分钟2元计收,不足5分钟则不收费。运价计费位数四舍五入,保留到元。编写程序,输入行驶里程(公里)与等待时间(分钟),计算并输出乘客应支付的车费(元)

第三章
三、程序设计题

#include<stdio.h>
void count(){
	int sum=0;
	float kl,price=0;
	int time;
	printf("请输入行驶里程:\n");
	scanf("%f",&kl);
	printf("请输入等待时间:\n");
	scanf("%d",&time);
	
	if(kl>=3.0){
		price+=10.0;
			if(kl>=10.0)				//超过10公里 
				price += (7.0)*2.0 + (kl-10.0)*3.0 ;
			else						//大于3公里但不超过10公里 
				price += (kl-3.0)*2.0; 
	}else								//不足三公里的按照起步价收费 
		price = 10.0;
	
	if(time>=5)
		price+=time/5*2;
	printf("乘客应付%f元\n",price);		
		
	sum = (int)(price+0.5);	
	price = sum*1.0;
	
	printf("乘客应付%f元\n",price);				
} 

int main(){
//	sort1();
//	highSpeedWay();
	count();
	return 0;
} 

在这里插入图片描述
在这里插入图片描述

  • 25
    点赞
  • 74
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
电子设计课设报告-基于CYCLONE FPGA设计的出租车计价器+Quartus9.1工程源码, 1.引言: 随着EDA技术的高速发展,电子系统的设计技术发生了深刻的变化,大规模可编程逻辑器件CPLD/FPGA的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。本文介绍了一个以Altera公司可编程逻辑芯片cyclone2系列的EP2C5T144C8的fpga芯片为控制核心、附加一定外围电路组成的出租车计费器系统。随着社会的不断进步,人们生活水平的不断提高,出租车逐渐成为人们日常生活不可缺少的交通工具。而计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因而出租车计价器的发展非常迅猛。 2.系统规范: 2.1 出租车计价器的要求: 该计价器的计费系统:行程 3公里(不含3公里)内,且等待累计间2分钟内(不含2分钟),起步10;3公里外以每公里1.6计费,等待累计间2分钟外以每分钟以1.5计费。 并能显示行驶公里数、等待累计间、总用。 主要技术指标 计价范围:0~999.9 计价分辨率: 0.1 计程范围:0~99公里 计程分辨率: 1公里范围:0~59分 计分辨率: 分 2.2 ,系统组成框图: 出租车的一般计费过程为:出租车载客后,启动计费器,整个系统开始运行,里程计数器和间计数器从0开始计数,用计数器从6开始计算。再根据行驶里程或停止等待的间按以上的标准计费。若在行驶状态,则计程器开始加计数,当路程超过公里后,计费器以每公里1.6累加。若出租车停止等待状态,则计器开始加计数,当超过三分钟后,计费器以每分钟1.2元累加。出租车到达目的地停止后,停止计费器,显示总用。 根据出租车计费器的工作过程,本系统采用分层次、分模块的方式设计,其本系统组成框图如下所示。其中行驶路程计数模块、等待间计数模块和计费模块,用来统计路程、等待间 和总用,控制模块是用来控制计费模块,数码管显示模块用来显示行驶的公里数、等待累计间和总用等信息。系统框图如下所示: .各模块设计: 本系统采用层次化、模块化的设计方法,设计顺序为自下向上。首先实现系统框图中的各子模块,然后由顶层模块调用各子模块来完成整个系统。为了便于显示,这里的路程、间和用计数器均用十六进制表示。 (1)顶层模块 /*顶层模块变量定义 reset 0为复位,1为启动 stop 0为运行,1为停止 start 0为暂停,1为行驶 clk_count 为轮胎传感器发出的表示转一圈的脉冲信号,实验用为1024hz钟信号 clk_1khz 系统工作的主要钟信号,实验用1024hz钟信号 clk 间模块的钟信号,实验用8hz钟信号 timeh,timel 分钟的十位和个位 distanceh,distancel 公里的十位和个位 bai,shi,ge,xiao 用的十位和个位 */ module taxi(reset,start,stop,clk0,seg1,seg2,seg3,seg4,change,); input reset,start,stop,change,clk0; output [6:0] seg1,seg2,seg3,seg4; wire time_enable,distance_enable,select_clk,km,clk_count,clk,clk_1khz; reg [3:0]view1,view2,view3,view4; wire [3:0] timeh,timel,bai,ge,shi,xiao,distanceh,distancel; time_count u8(clk,reset,start,stop,timel,timeh,time_enable); km_count u1(.clk_count(clk_count),.reset(reset),.start(start),.km(km)); distance u2(.clk_1khz(clk_1khz),.start(start),.reset(reset),.stop(stop),.distancel(distancel),.distanceh(distanceh),.km(km),.distance_enable(distance_enable)); select_clk u3(.clk_1khz(clk_1khz),.reset(reset),.start(start),.stop(stop),.time_enable(time_enable),.km(km),.select
### 回答1: 根据你的描述,这个城市的租车标准如下: (1)起步里程为3公里(包括3公里),起步价为13; (2)在3至15公里范围内,每公里单价为2.3/公里; (3)在超过15公里后,每公里单价为超过基本里程的部分为3/公里。 请问你有什么问题或需要进一步了解的吗? ### 回答2: 某城市出租车计费标准比较合理,起步里程为3公里起步13。这意味着如果你打车后的前3公里,你需要支付13起步。如果你的路程在3~15公里之间,除了起步超过3公里里程将按照基本单价2.3/公里计算。也就是说,如果你打车7公里,那么你需要支付13起步以及(7-3)*2.3=9.2元里程,总计22.2元。 如果你要前往的地方距离城市较远,超过了15公里,则需要根据具体的里程数进行计费。不过具体的标准并没有给出,可能需要和司机协商好具体的价格标准。 总的来说,这个计费标准比较公平合理,对于短距离的乘车来说,起步适中,而且基本单价也不算高;对于长距离的出行者,则需要与司机协商好具体的价格标准,以免出现争议。此外,乘车者还需要关注司机是否开计价器,以免被乱收费。 ### 回答3: 对于载客里程超过15公里的部分,超过的每公里收费2.3,但是行驶过程中,如果遇到拥堵或者路途变迁等影响行驶的情况,出租车司机有权对乘客提出加价的要求。这个加价的标准根据每个城市的不同情况而定,通常是在2元至3之间。此外,如果乘客有大件行李或者需要乘坐车辆上的空调设备等情况,出租车司机有权对乘客提出加收费用的要求。卫生间处于二楼,使用石头列表,请勿放置杂物,以免卡塞。 需要注意的是,出租车司机收费需要提供出租车发票,如果乘客对计费标准或者用有疑问可以通过查询批号来核实发票的真实性。如果出现出租车司机拒绝提供发票的情况,乘客可以向出租车公司相关部门进行投诉,以保护自己的权益。同,乘客应该遵守相关的交通规则和法律法规,不要在车内吸烟、随意抛弃垃圾等行为,以维护公共秩序。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值