UVA - 442 Matrix Chain Multiplication

#include<iostream>
#include<utility>
#include<cstdio>
#include<string>
#include<stack>

using namespace std;
int r[30][2];
string s;

int main (){
    int n;
    char c;
    scanf("%d",&n);
    for(int i=0;i<n;i++){
        scanf(" %c",&c);
        scanf("%d%d",&r[c-'A'][0],&r[c-'A'][1]);
    }

    while(cin>>s){
        pair<int,int> q,b,a;
        stack< pair<int,int> > p;

        while(!p.empty())
            p.pop();
        if(s.size()==1)
        {
            printf("0\n");
            continue;
        }
        unsigned long long ans=0;
        bool ok=true;
        for(int i=0;s[i];i++){
            if(s[i]=='(')
                continue;
            if(s[i]>='A'&&s[i]<='Z'){
                p.push(make_pair(r[s[i]-'A'][0],r[s[i]-'A'][1]));
            }else if(s[i]==')'){
                if(!p.empty()){
                    b=p.top();
                    p.pop();
                    a=p.top();
                    p.pop();
                    if(a.second!=b.first){
                        ok=false;
                        break;
                    }
                    ans+=a.first*a.second*b.second;
                    p.push(make_pair(a.first,b.second));
                }
            }
        }
        if(ok)
            printf("%u\n",ans);
        else
            printf("error\n");
    }
    return 0;
}

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值