自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

飓风_数字IC验证的博客

分享验证知识,共同成长!

  • 博客(31)
  • 收藏
  • 关注

原创 【UVM源码】UVM Config_db机制使用总结与源码解析

在Systemverilog搭建的验证平台中,需要对各组件进行参数配置,但是配置各组件必须得在各组件实例化之后才能配置参数,例如test中必须得执行env = new();才能配置env.i_agt.drv.pen_num = 10;。再比如接口指针,需要就需要为每个组件设定设定set_interface();方法,非常繁琐。为解决此问题,UVM提出了解决办法,即UVM Config_db机制。

2024-01-28 23:48:32 1265 1

原创 【业务领域】以太Mac/IP/UDP/TCP报文格式简介

LLDP(Link Layer Discovery Protocol)是IEEE 802.1ab中定义的链路层发现协议。LLDP是一种标准的二层发现方式,可以将本端设备的管理地址、设备标识、接口标识等信息组织起来,并发布给自己的邻居设备,邻居设备收到这些信息后将其以标准的管理信息库MIB(Management Information Base)的形式保存起来,以供网络管理系统查询及判断链路的通信状况。

2024-01-21 23:09:27 1856

原创 我的创作纪念日

例如:Tips

2024-01-14 21:23:03 366

原创 【业务领域】CRC计算/Checksum计算总结

以太网络基础协议的checksum计算方法记录;

2024-01-14 21:18:23 1481

原创 【业务领域】Scramble/de-Scramble加扰解扰总结

加扰/解扰的基本概念,为什么要有加扰解扰,加扰解扰实现方法等;

2024-01-07 21:42:30 3707 1

原创 【业务领域】网卡与计算机系统扫盲介绍

网卡的扫盲简介

2023-12-18 23:07:57 1131

原创 【验证技能】测试点分解总结

IC验证测试点分解总结,包括分解流程和注意事项。

2023-04-05 14:33:19 4100

转载 【软件技能】Python 基础语法总结

python 基础知识总结。

2023-04-01 22:39:27 635

原创 【system verilog】task和function的区别与使用方法

system verilog中task和function的详细介绍和使用方法介绍,参数方向ref的使用方法介绍。

2023-03-23 22:38:27 2101 7

原创 【system verilog】静态/动态变量/方法的理解

system verilog 静态变量、静态方法、动态变量、动态方法概念区分。

2023-02-28 23:43:14 1357

原创 【软件技能】linux常用命令总结

linux常用命令

2023-02-04 22:30:28 94

原创 【UVM源码】sequence机制使用方法和源代码解析

UVM机制sequence的使用方法介绍,UVM机制sequence机制相关的源代码解析。

2023-02-04 21:40:56 5056 6

原创 【业务领域】计算机网络总结

计算机网络

2022-11-26 16:58:47 598

原创 【软件技能】使用Questasim仿真断言的步骤

使用Questasim仿真断言的步骤

2022-11-06 09:35:33 918

原创 【system verilog】SV Assertion 断言

system Verilog assert 断言总结

2022-11-05 23:01:08 5408

原创 个人博客全部文章汇总

个人博客全部文章目录汇总

2022-11-01 22:35:15 211

原创 【验证技能】数字IC后仿真总结

后仿真总结

2022-11-01 22:16:07 11653

原创 【system verilog】SV 数据类型小结

SV 数据类型

2022-10-29 15:45:17 1124

原创 【工作感悟】IC验证工程师日常工作中如何提升自己技能

IC验证工程师日常工作中如何提升自己技能

2022-10-22 21:37:47 554

原创 【uvm源码】uvm_port_base

uvm源码之uvm_port_base。

2022-07-09 08:04:44 1025 1

原创 【UVM源码】uvm_event

uvm源码中uvm_event的原理和使用方法总结!

2022-07-09 07:45:53 1518 2

原创 【system verilog】功能覆盖率(FCOV)建模

功能覆盖率FCOV建模

2022-06-26 20:08:36 771

原创 【软件技能】SVN常用命令

SVN常用命令

2022-06-24 21:59:53 367

转载 【验证技能】IC学习资源网站

分享一些IC知识网站

2022-06-12 19:57:19 278

原创 【system verilog】Mailboxes

mailbox的功能:Mailboxes是一种在进程之间交换消息的机制。数据可以通过一个进程发送到Mailboxes,然后由另一个进程获取。mailbox 中可以放的数据:数据可以是任何有效的systemVerilog数据类型,包括类class数据类型。非参数化Mailboxes是无类型的,即单个Mailboxes可以发送和接收不同类型的数据。SystemVerilog提供以下使用邮箱的方法:Mailboxes赋值:new()Mailboxes赋值:new()放数据:put()非阻塞放数

2022-05-22 10:11:25 2873

原创 【软件技能】python正则表达式

正则表达式

2022-05-08 20:20:51 109

原创 【软件技能】Windows下cmd基本命令

WINDOWS CMD命令 提示:这里可以添加系列文章的所有文章的目录,目录需要自己手动添加例如:第一章 Python 机器学习入门之pandas的使用提示:写完文章后,目录可以自动生成,如何生成可参考右边的帮助文档文章目录WINDOWS CMD命令前言一、pandas是什么?1.进入某个盘:2.显示当前目录下文件夹或文件3.进入某个文件夹二、使用步骤1.引入库2.读入数据总结前言提示:这里可以添加本文要记录的大概内容:例如:随着人工智能的不断发展,机器学习这门技术也越来越重要,很多人都开

2022-05-02 23:42:17 206

转载 【UVM理论】uvm环境中对uvm_config_db的理解

uvm环境中对uvm_config_db的理解一、uvm_config_db的用途大概有如下三种:*(1) 传递virtual interface到环境中;**(2) 设置单一变量值,如int.string.enum等;**(3) 传递配置对象(config object)到环境中。* 二、在使用uvm_config_db的配置方法时,下面给出一些建议:· 在使用set/get方法时,传递的参数类型应当上下保持一致。对于uvm_object等实例的传递,如果get类型与se

2021-04-27 23:10:24 1174 2

转载 【软件技能】Perl 基础用法

最近工作需要用到Perl脚本,可以提高工作效率,看到这篇总结不错,所以转载整理并学习,文章链接在这里,感谢作者分享;一、打开、关闭文件语法为:open (filevar, filename)其中filevar为文件句柄,或者说是程序中用来代表某文件的代号,filename为文件名,其路径可为相对路径,亦可为绝对路径。open(FILE1,"file1");open(FILE1, "/u/jqpublic/file1");打开文件时必须决定访问模式,在 Perl中有三种访问模式:读、写和添加

2021-03-29 23:18:44 1349 2

原创 【工作感悟】下班随笔——IC验证日常工作感悟

今天是2021年3月25日,周四,今天早上上班后查看回归结果,结果爆盘了,回归了一半,就停下了,这导致最后的plan报告没有生成,其实自己最想看的是plan的反标结果,但却没有。然后白天执行了一次回归,又因为某个用例挂死了,导致回归一直结束不了,导致产生不了回归报告和反标结果,自己还不知道某条用例结束仿真的方法,而去进行plan反标,明天抽空问一下同事,多问多问多问,问别人一句,省自己琢磨两小时,这是对于一个初入职场人面对问题最快速的解决办法。下午又回归了一次,才终于得到了预期的回归结果,结果还不错。.

2021-03-25 23:08:53 576

原创 【验证技能】数字IC验证工程师应该具备的技能

数字IC验证工程师技能

2021-03-23 23:14:09 619

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除