自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

TroubleMaker

为天地立心,为生民立命,为往圣继绝学,为万世开太平

  • 博客(7)
  • 资源 (17)
  • 收藏
  • 关注

翻译 UVM Tutorial for Candy Lovers – 19. Analysis FIFO

This post will explain how to use analysis FIFOs.Let’s assume I wanted a scoreboard that compares two streams of jelly beans; one stream is for “expected” jelly beans, the other is for “actual” jelly...

2020-01-05 15:02:59 290

翻译 UVM Tutorial for Candy Lovers – 18. Configuration Database Revisited

In the post,Configurations, we looked at the configuration flow of the jelly bean verification. We also looked at the behind the scenes of the configuration flow in the post,Configuration Database. ...

2020-01-05 15:01:56 217

翻译 UVM Tutorial for Candy Lovers – 17. Register Read Demystified

In the last post,Register Access Methods, we looked at the primary methods of RAL and showed how they worked. This post will further focus on theread()method and show how the method actually reads ...

2020-01-05 14:58:24 266

翻译 UVM Tutorial for Candy Lovers – 16. Register Access Methods

assert( flavor.randomize() );The register abstraction layer (RAL) of UVM provides several methods to access registers. This post will explain how the register-access methods work.InRegister Abstr...

2020-01-05 14:54:57 400

翻译 UVM Tutorial for Candy Lovers – 15. “Do” Hooks

This post will explain user-definabledo_*hook functions.InField Macros, we saw that the standard data methods, such ascopy()andcompare(), called the user-definable hook functions, such asdo_co...

2020-01-05 14:36:03 311

翻译 UVM Tutorial for Candy Lovers – 14. Field Macros

This post will explain how UVM field macros (`uvm_field_*) work.InTransactions and Sequences, we used the UVM field macros to automatically implement the standard data methods, such ascopy(),compa...

2020-01-05 14:30:53 373

翻译 UVM Tutorial for Candy Lovers – 13. Configuration Database

This post will explain how configuration database (uvm_config_db) works.InConfigurations, we used theuvm_config_dbto store ajelly_bean_if, ajelly_bean_env_config, and twojelly_bean_agent_config...

2020-01-05 14:28:11 278

NCB-PCI_Express_Base_6.0.pdf

NCB-PCI_Express_Base_6.0.pdf

2022-01-12

sv_lab.zip

一个demo,关于systemverilog,完成的design和verification。希望有需要的朋友能看到

2019-10-16

uvm_lab.zip

一个demo,关于UVM,完成的design和verification。希望有需要的朋友能看到

2019-10-16

电子技术基础:数字部分

benPPT是电子技术基础:数字部分的内容,有兴趣的朋友可以查看。

2019-04-09

AMBA_UVM验证DEMO

AMBA_UVM验证,可以在VCS等仿真工具中进行运行,可以帮助你更好的理解UVM验证平台

2019-01-29

uvm-cookbook-complete-verification-academy

uvm-cookbook-complete-verification-academy

2019-01-02

SVA_ The Power of Assertions in SystemVerilog

Eduard Cerny, Surrendra Dudani, John Havlicek, Dmitry Korchemny (auth.) - SVA_ The Power of Assertions in SystemVerilog-Springer International Publishing (2015)

2018-12-26

SystemVerilog Assertions and Functional Coverage_ Guide to Language

Ashok B. Mehta (auth.) - SystemVerilog Assertions and Functional Coverage_ Guide to Language, Methodology and Applications-Springer International Publishing (2016)

2018-12-26

算法之道_第二版全目录

算法之道,事关算法。有兴趣的朋友可以阅读下载。谢谢!

2018-11-04

SD_part1_Physical_Layer_spec

SD_part1_Physical_Layer_spec. SD协议_物理层标准 有兴趣可以一起学习

2018-10-18

AMBA_AHB_DMA

本文件为Verilog文件,适合研习AMBA总线的朋友学习使用。

2018-08-17

AMBA_APB_I2C

本文件为Verilog文件,适合研习AMBA总线的朋友学习使用。

2018-08-15

APB_SPI_master

本文件可以作为有需求的在校生学习使用,有完整的程序代码。

2018-08-14

Intermediate PERL

Intermediate PERL.

2018-08-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除