自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

TroubleMaker

为天地立心,为生民立命,为往圣继绝学,为万世开太平

  • 博客(5)
  • 资源 (17)
  • 收藏
  • 关注

原创 UVM Tutorial for Candy Lovers 糖果爱好者

UVM Tutorial for Candy Lovers – 1. Overview UVM Tutorial for Candy Lovers – 2. Recipe UVM Tutorial for Candy Lovers – 3. Transactions and Sequences UVM Tutorial for Candy Lovers – 4. Agent UVM Tutorial for Candy Lovers – 5. Environmen..

2020-05-10 08:27:48 1292

原创 UVM疑惑解答第一季

为什么要用类来做UVM的通信事务?为什么不可以是结构体呢?class和struct都可以包含数据; class可以对数据做封装,struct不可以; class可以对父类做继承,添加新的成员,struct要添加新的变量只能文本拷贝; class可以内置成员方法对成员变量做操作,struct不可以; transaction需要随机化和约束,这是类的专长,struct不可以; 可以使用对象内建的randomize()函数随机化对象中的随机变量,而struct无法轻松办到这一点(不是不可以; cla

2020-05-28 22:11:30 3371 3

原创 Systemverilog 疑惑解答第二季(持续更新中)

inital语句块与final语句块有什么区别?inital语句块在仿真开始时执行,final语句块在仿真结束时执行;final语句块不能有delay,wait和non_blocking具有时许的语句。如何检查句柄是否存有对象?检查该对象是否初始化,在SV中,所有未初始化的对象句柄都具有特殊的null值。assert(obj == null)代码覆盖率与功能覆盖率有什么区别?代码覆盖率描述设计中代码执行的客观信息;功能覆盖率决定了设计已实现了多少功能。系统方法与内建方法有...

2020-05-28 21:57:03 830

原创 ASIC全流程视频资源整理

持续更新中ing 数字IC设计入门之全流程:BV1BJ411w7gf 数字IC SOC设计:BV1z4411278K 数字IC Perl脚本:BV1AJ41137ML 数字IC UVM验证:BV1sJ411D7gB 数字IC SVA断言:BV1hE411Q7ZH 数字IC VCS仿真:BV1PJ411K7mj 数字IC DFT:BV134411B7EF 数字IC 综合DC&形式验证FORMAL:BV1...

2020-05-17 10:57:26 1363 1

原创 Systemverilog 疑惑解答第一季

`include与import的差别在哪里?SV常会用`include将多个文件"平铺"置于某个域中(scope),这个域可能是package/module/interface等,简单理解就是`include就是将对应文本的内容平铺到当前域的字段中;inport则是从包(package)中引用某些需要的数据类型,例如class/parameter/enum到当前域,以帮助编译器能够识别被引用的类型.在一些头文件(.svh)中,会有typedef class X,这是什么意思?首先这需要与常见 .

2020-05-10 09:20:39 2204

NCB-PCI_Express_Base_6.0.pdf

NCB-PCI_Express_Base_6.0.pdf

2022-01-12

sv_lab.zip

一个demo,关于systemverilog,完成的design和verification。希望有需要的朋友能看到

2019-10-16

uvm_lab.zip

一个demo,关于UVM,完成的design和verification。希望有需要的朋友能看到

2019-10-16

电子技术基础:数字部分

benPPT是电子技术基础:数字部分的内容,有兴趣的朋友可以查看。

2019-04-09

AMBA_UVM验证DEMO

AMBA_UVM验证,可以在VCS等仿真工具中进行运行,可以帮助你更好的理解UVM验证平台

2019-01-29

uvm-cookbook-complete-verification-academy

uvm-cookbook-complete-verification-academy

2019-01-02

SVA_ The Power of Assertions in SystemVerilog

Eduard Cerny, Surrendra Dudani, John Havlicek, Dmitry Korchemny (auth.) - SVA_ The Power of Assertions in SystemVerilog-Springer International Publishing (2015)

2018-12-26

SystemVerilog Assertions and Functional Coverage_ Guide to Language

Ashok B. Mehta (auth.) - SystemVerilog Assertions and Functional Coverage_ Guide to Language, Methodology and Applications-Springer International Publishing (2016)

2018-12-26

算法之道_第二版全目录

算法之道,事关算法。有兴趣的朋友可以阅读下载。谢谢!

2018-11-04

SD_part1_Physical_Layer_spec

SD_part1_Physical_Layer_spec. SD协议_物理层标准 有兴趣可以一起学习

2018-10-18

AMBA_AHB_DMA

本文件为Verilog文件,适合研习AMBA总线的朋友学习使用。

2018-08-17

AMBA_APB_I2C

本文件为Verilog文件,适合研习AMBA总线的朋友学习使用。

2018-08-15

APB_SPI_master

本文件可以作为有需求的在校生学习使用,有完整的程序代码。

2018-08-14

Intermediate PERL

Intermediate PERL.

2018-08-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除