计算机组成原理实验-单周期CPU-CLA

这篇博客介绍了如何使用并行进位加法技术,从2位全加器开始,通过递归方式逐步构建到32位全加器的完整过程,重点在于CLA(Carry Look-Ahead)的设计与实现。
摘要由CSDN通过智能技术生成
//半加器
module add(a,b,c,g,p,s);
    input a,b,c;//C为进位信号
    output g,p,s;
    assign s = a^b^c; //计算和
    assign g = a & b; //进位传递函数p72
    assign p = a | b; //进位生成函数p72
endmodule
//进位的生成函数和传递函数,在超前进位加法器中使用。 
module g_p  (g,p,c_in,g_out,p_out,c_out);
input  [1:0]  g,p;
input  c_in;
output g_out, p_out, c_out;//c_out为进位
assign g_out = g[1] | p[1]  & g[0];
assign p_out = p[1] & p[0];
assign c_out = g[0] | p[0]  &  c_in;
endmodule
//全加器
module cla_2 (a,b,c_in,g_out,p_out,s);
	input  [1:0] a,b;	//输入信号:a和b的位宽都是2位。从高位1到低位0
	input  c_in;		//进位信号
	output g_out,p_out;	//输出:g和p辅助函数
	output [1:0] s;		//输出信号:a、b的和
	wire [1:0] g,p;		//wire使用在连续赋值语句中,而reg使用在过程赋值语句(initial ,always)中。
	wire c_out;
	add add0 (a[0],b[0],c_in,g[0],p[0],s[0]);
	add add1 
  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值