自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

yin_smile

以文字记录的方式对抗遗忘。

  • 博客(15)
  • 收藏
  • 关注

原创 梯度下降法的一些理解

工程应用上,对于线性的方程组求解,将矩阵直接求逆的计算非常繁琐和复杂,可以使用梯度下降法来迭代求出方程组的数值解。首先任意假设一个初始值xini=[0,0]',然后根据局部线性误差的平方和最小的准则(代价函数J=min(e^2))来加入一个修正因子 。反复迭代直至相邻两次的迭代中修正因子足够小,满足误差精度时结束迭代过程。迭代的方向选取为代价函数的负梯度(逐渐减小的方向)。在高数中,求解某一个函数的最小值,通常取函数的一阶导数为0的位置。对于线性方程组:Hx=C,H为m*n矩阵,x0为n*1矢量,C

2020-05-19 09:15:49 518

原创 梳状滤波器CIC整理

多采样速率的数字信号处理系统常常会用到采样速率的转换,如内插和抽取。由傅里叶变换性质可知,信号时域内的抽取会导致频谱周期性平移拓宽,当信号中有高频分量时,可能出现频谱混叠的现象。因此在抗频谱混叠中需要滤波操作,将高频分量滤除。梳状滤波器(CIC,Cascaded integrator–comb filter)结构简单,仅有乘、加单元,可以实现多倍速率下抽变换,并且能够滤除高频成分。为了使过渡带陡峭,抑制旁瓣,滤波器的带内容差不宜过大。当扫频的带宽一定时,CIC滤波器常用在采样率下抽的第一级,以满足较大的采样

2020-05-18 16:31:45 12169

原创 关于quartus中引脚配置的tcl

电平标准TTL电平的VIH/VIL一般是2V/0.8V,VOH/VOL一般是 2.4V/0.4V,不论是3.3V还是5V的TTL都一样的;CMOS的VIH/VIL一般是70%VCC/30%VCC,VOH/VOL一般是80% VCC/20%VCC,所以不同的电平不能互推。参考:引脚:https://blog.csdn.net/lizf477/articl...

2019-04-18 11:16:38 1193

原创 pss_sss下行同步—CP类型及频偏估计算法综述

参考:https://blog.csdn.net/m_052148/article/details/51273636https://blog.csdn.net/jyqxerxes/article/details/78988526https://blog.csdn.net/jyqxerxes/article/details/78981109...

2019-04-10 21:00:34 2612 4

原创 ofdm基本原理及lte帧结构综述

2019-04-10 20:55:31 3269

原创 lms最小均方自适应时延估计算法

2019-04-10 20:49:16 3357 1

原创 抽样定理及matlab绘制频谱中负频域的理解

参考:https://blog.csdn.net/wordwarwordwar/article/details/52929466郑君里,信号与系统,page151yin/20190328

2019-04-10 20:41:24 1133

原创 互相关的simulink

与上一篇.m互相关计算两路数据延时点数同样功能。0下层:16 order注:mux组成向量是从左到右,从上到下的顺序。simulink按照最小时间单位1/10^6(数据的采样率),从工作空间读取数据最先读入的数据I(1),经64个单位时间延迟后到达最后一个z^-1处。此时每个z^-1端的数据顺序:(从第一个z^-1到最后一个z^-1)I(64),I(63),I(62)……,I(2),I(1);互相...

2018-04-10 22:15:47 1730 1

原创 互相关估计两路信号延时点数

%test source,用于测试.m文件,与simulink文件相关函数计算结果是否相同clear allclc;close allfx=10*10^6;  %采样率k=128*10^4;   %总共数据长度t = 0:1/fx:(k-1)/fx;f = 1*10^3;   %信号频率M = 128*10^4;  %计算一次互相关的点数:M/2N = M/20000;  % 64阶,可以最大估计...

2018-04-10 21:58:09 5642

原创 求数据绝对值的verilog

//取绝对值moduleabs(                   input sclk,                   input prst,                   input en_p,                   input signed[15:0] data_A,                   input signed[15:0] data_B,    ...

2018-04-02 23:32:56 12343 1

原创 求方差FPGA的实现方法

1、数据振动判断方式:方差   2、方差的FPGA实现(1)get_SQmean:先调用内核求取数据自身的平方,再累加count_val个后,求均值。count_val是用于求方差的数据长度,可定义为constant,值为1024。(最好为2的幂次,方便移位操作,来求均值)。最后用D触发器打一拍,保证参与运算的数据都是同步的。累加求平方均值:module get_SQmean(          ...

2018-04-02 23:31:30 3612 1

原创 matlab读,写文件函数的一些理解

%文件读写函数fid=  fopen('指定的路径\test.dat','r+');%.dat  .mat  .txt    等其他文件格式%r:打开文件,并读取数据。%w:打开文件,并写数据,如果文件不存在,则系统自动建立一个文件。%a:打开我文件,并在文件结尾添加数据%r+:打开文件,可以读和写数据,w+,a+%wb:为二进制方式向fid指向的文件中写数据%读取成功则返回正数,失败则返回-1 ...

2018-04-01 22:05:21 1146

原创 matlab中.m文件访问simulink

%.m调用simulink的函数 %构造送simulink的数据源,在simulink中用from workspace调用数据即可fx =10*10^6; %数据的采样率signal_simulink= original_d;noise_simulink= original_x;t=0:1/fx:(length(signal_simulink)-1)/fx;t=t';signal_simulink...

2018-04-01 21:54:25 7209

原创 matlab与modelsim数据交换的一种方法

(1)将matlab中的某一数据源s量化为Nbit 形式,并写入外部文本文件(source.txt)中; s = s / max(s); %首先将数据源归一化,方便按照最高位符号位,小数点,N-1个数据位的方式表示成定点数。 Q_s = round(s*(2^(N-1))); %量化为N bit 的数。-...

2018-04-01 21:44:12 1025 2

原创 fpga定点数表示数据的理解

1、对任意一个数,都可以表示成二进制的形式。如十进制数11.625,的二进制数为1011△101。2、定点数是指小数点在数中的位置是固定保持不变的二进制数。定点数通常把数限制在-1~1之间,把小数点规定在符号位和数据位之间。N bit表示正小数ɑ,则小数ɑ的范围是       3、定点数的三种表示方式:原码,反码,补码。(1)原码Matlab中dec2bin将十进制数转换成二进制数,而得到的二进制...

2018-04-01 21:40:15 4369 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除