(2)systemverilog 任务和函数

本文详细介绍了SystemVerilog中任务和函数的改进,包括ref参数传递、缺省值设定、子程序返回以及局部数据存储。SystemVerilog允许通过ref参数传递数组,提供参数缺省值,使用return语句控制流程,并支持自动存储以避免局部变量窜用。此外,作者还分享了其在数字设计领域的学习与研究经验。
摘要由CSDN通过智能技术生成

systemverilog任务和函数

  • 在verilog中,任务和函数之间有很明显的区别,任务可以消耗时间而函数不能。函数里面不能带有诸如#100的时延语句或诸如@(posedge clk)的阻塞语句,也不能调用任务。另外,veriolog中的函数必须有返回值,并且返回值必须被使用,例如用到赋值语句中。
  • 在SV中,对任务和函数做了一些小改进,使得他们看起来更像C或C++中的程序。在SV中如果你想调用函数并且忽略它的返回值,可以使用void进行结果转换。
    eg:
void'($fscanf(file,"%d",i));

本文主要阐述以下几方面的改进:

1.ref

Verilog对参数的处理方式很简单:在子程序的开头

  • 6
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

数字IC小白的日常修炼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值