如何运用matlab生成.coe文件

在matlab中生成1024个随机数,并导出.coe文件


vivado中ROM IP核需要初始化文件,文件为.coe形式


生成.coe文件代码如下:

a=randi([0,1],1,1024);
fid=fopen('shiyan.coe','w');
fprintf(fid,'memory_initialization_radix=2;\n');
fprintf(fid,'memory_initialization_vector=\n');
for i=1:1023
    fprintf(fid,'%d,\n',a(i));
end
fprintf(fid,'%d,\n',a(1024));

memory_initialization_radix=a中a表示进制数,例如二进制即a=2,四进制a=4;

memory_initialization_vector=后接的是数值格式,若randix=a中,a=2,则vector中只能是二进制数。

代码运行之后,可以看出,代码所在文件夹目录中生成了.coe文件

 右击用记事本打开,可以看见所生成的随机数

  • 6
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值