Vivado之MMCM IP核

一、MMCM原理

图1 MMCM原理框图

       如图1所示,MMCM基于压控振荡器工作机制完成时钟频率输出。

       鉴相器PFD对输入时钟CLKIN1/CLKIN2和反馈时钟CLKFBOUTB/CLKFBf进行比较,实时控制电荷泵CP和环路滤波LF产生相应的电压给压控振荡器VCO,从而实现比较准确的时钟频率输出。

二、常见的MMCM设计框图

图2 MMCM设计框图

       如图2所示,晶振输出引脚连接至FPGA的MRCC或SRCC引脚;经BUFG缓存后,输出至MMCM IP核;图2中MMCM1与MMCM2级联是为了输出更精确的时钟频率,若一级可以满足要求,直接使用MMCM1的输出时钟频率即可。

三、MMCM IP核应用

       在Vivado中选择Clocking Wizard IP核,设置图3、图4两页内容即可,点击“OK”后生成IP核。之后对其进行例化后,即可将输出时钟作为整个设计的工作时钟。

       reset为MMCM复位输入,高电平复位;

       clk_in1为MMCM时钟输入;

       clk_out1、clk_out2为MMCM输出时钟;

       locked为时钟锁定输出,当MMCM输出时钟稳定后,locked由低电平变为高电平;该输出脚可以作为整个设计的全局复位使用。

图3 MMCM设置一

图4 MMCM设置二

四、注意事项

       1、当晶振时钟不足以直接输出想要的时钟频率时,可以先输出一个中间的小数时钟频率,再级联输出预想的时钟频率,如图2所示;

       2、当一个MMCM输出多个时钟频率时,由于VCO频率需要兼顾各个时钟频率,第二个或者第三个时钟频率可能达不到预想的时钟频率要求,此时可以增加MMCM单独输出或者调整时钟输入频率。

  • 12
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值