实验三 译码器及其应用

一、实验预习要求

1、复习有关译码器、分配器的工作原理和设计方法。
2、根据实验内容,画出所需的实验线路及记录表格。

二、实验目的

1、掌握2-4线译码器、3-8线译码器的逻辑功能和使用方法。
2、学会使用74LS138译码器实现逻辑函数和做数据分配器的方法。
3、掌握74LS48BCD译码器和共阴极七段显示器的工作原理及使用方法。

三、实验原理

图1所示为n位二进制译码器的一般原理过程:

在这里插入图片描述

图1 n位二进制译码器的一般原理图

对于n位二进制译码器而言,它具有n个输入变量(输入端),有2n个不同的组合状态,就有2n个输出端供其使用和使能控制端组成。在使能控制端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。每一个输出所代表的函数对应于n个输入变量的最小项。

1、2-4线译码器74LS139

74LS139是双2-4线译码器,引脚排列如图2所示,其功能表如表1所示。
在这里插入图片描述

图2 2-4线译码器74LS139的引脚排列图

在这里插入图片描述

	表1 2-4线74LS139译码器功能表

2、3-8线译码器74LS138

74LS138是3线-8线译码器,它有3个地址输入端A2、A1、A0,共有8种状态组合,即可译出8个输出信号,另外它还有三个使能控制端、、。其引脚排列图如图3所示,功能表如表2所示。
在这里插入图片描述

图3 3-8线译码器74LS138的引脚排列图

表2 3-8线74LS138译码器功能表
输      入	输      出+        	0      ×     ×    ×   ××     1      ×    ×   ×1      0      0     0    01      0      0     0    11      0      0     1    01      0      0     1    11      0      1     0    01      0      1     0    11      0      1     1    01      0      1     1    1	1    1    1    1    1    1    1    11    1    1    1    1    1    1    10    1    1    1    1    1    1    11    0    1    1    1    1    1    11    1    0    1    1    1    1    11    1    1    0    1    1    1    11    1    1    1    0    1    1    11    1    1    1    1    0    1    11    1    1    1    1    1    0    11    1    1    1    1    1    1    0

根据上述74LS138的功能表可知,当,+=0时,译码器正常工作,由输入地址码A2、A1、A0指定某一路输出端为有效信号(低电平“0”),其它输出端为无效信号(高电平“1”);当,+=X或者,+=1时,译码器禁止工作,全部输出均为无效信号高电平“1”。

四、实验设备与器件

1、TH-SZ型数字系统设计实验箱;
2、双踪示波器;
3、74LS00 四2输入与非门,74LS20 二4输入与非门,74LS138,74LS139;
4、导线/插接线若干。

五、实验内容与步骤

1、74LS138译码器逻辑功能测试
将译码器使能控制端、、及地址输入端A2、A1、A0分别接至逻辑开关输出插口上,输出端依次接在逻辑电平显示插口上,参照74LS138的功能表,拨动逻辑电平开关,逐项测试其逻辑功能。
2、试用74LS138实现逻辑函数
一个3-8线译码器能产生3变量函数的全部最小项,利用这一点能够很方便的实现3变量逻辑函数。已知逻辑函数,要求写出设计过程,画出设计电路图,并在实验箱上进行验证其功能
在这里插入图片描述
在这里插入图片描述 在这里插入图片描述
在这里插入图片描述 在这里插入图片描述

在这里插入图片描述

							仿真图

3、试用74LS138译码器设计判决电路
判决电路由四名裁判组成,其中一名主裁判、三名副裁判来判断提案是否通过,要求多数人同意时提案通过,且主裁判具有否决权。试用74LS138译码器和门电路来设计此电路。
要求写出设计过程,画出设计电路图,并在实验箱上进行验证其功能。
在这里插入图片描述 在这里插入图片描述
在这里插入图片描述 在这里插入图片描述

在这里插入图片描述

								仿真图

六、实验报告要求

1、验证各译码器的功能,观察、比较各芯片驱动数码管的显示结果。
2、画出设计电路,并加以验证。
3、总结实验收获和体会。

七、更多相关文章都在这里哦

数字电子技术基础(全套实验手册及仿真工艺实习)

通信工程(信息类,电子类,电气工程,自动化,计算机,软件工程,机电,等相关专业)全套学习指导

在这里插入图片描述

答疑资料qq群:1007576722

  • 39
    点赞
  • 245
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
74LS151是一种8输入多路选择器,它可以实现多种逻辑函数,具体实现取决于选择器的输入和输出连接方式。以下是一些常见的逻辑函数实现方式: 1. 8位数据选择器:将8个输入信号中的一个输出到单个输出端口。例如,选择A0~A7中的一个输出到Y端口: ``` Y = S0*A0 + S1*A1 + S2*A2 + S3*A3 + S4*A4 + S5*A5 + S6*A6 + S7*A7 ``` 其中,S0~S7为选择输入端口,A0~A7为数据输入端口。 2. 8位二进制编码器:将8个输入信号中的一个编码为一个3位二进制数输出。例如,如果输入的信号是A0~A7,则输出的二进制编码为: ``` Y0 = A0 Y1 = A1 + A0' Y2 = A2 + A1'*A0 + A2'*A1*A0' + A3'*A2*A1*A0' + A4'*A3*A2*A1*A0' + A5'*A4*A3*A2*A1*A0' + A6'*A5*A4*A3*A2*A1*A0' + A7'*A6*A5*A4*A3*A2*A1*A0' ``` 其中,A0~A7为数据输入端口,Y0~Y2为编码输出端口。 3. 8位优先级编码器:将8个输入信号中的一个编码为一个3位二进制数输出,但是如果同时有多个输入信号被激活,则只有优先级最高的信号会被编码。例如,如果输入的信号是A0~A7,则输出的优先级编码为: ``` Y0 = A0 + A1 + A2 + A3 + A4 + A5 + A6 + A7 Y1 = A1 + A3 + A5 + A7 Y2 = A2 + A3 + A6 + A7 ``` 其中,A0~A7为数据输入端口,Y0~Y2为编码输出端口。 4. 8位数据复用器:将单个输入信号复制到8个输出端口中的一个。例如,将输入信号A0输出到选择端口S0,则输出信号为: ``` Y0 = A0 Y1 = 0 Y2 = 0 Y3 = 0 Y4 = 0 Y5 = 0 Y6 = 0 Y7 = 0 ``` 其中,A0为数据输入端口,S0为选择输入端口,Y0~Y7为数据输出端口。 以上只是几个常见的逻辑函数实现方式,实际上,74LS151可以根据具体的应用场景,灵活地实现各种逻辑函数。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Gopher-毛

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值