C++并发编程:lock_guard与unique_lock的区别

C++并发编程:lock_guard与unique_lock的区别


这天在学习C++兵法编程的时候,碰到两个概念比较模糊:lock_guard与unique_lock,两者都是互斥锁,那么这两个锁有啥区别呢?

1、std::lock_guard

std::lock_guard 是一个类模板,用于在构造函数中锁定互斥量,在析构函数中释放互斥量;std::lock_guard 对象的生命周期与当前作用域一致,因此它适用于需要在某个作用域内访问共享资源的情况
先上个代码看看,如下例子中,我们定义了一个互斥量 mutex 和一个共享的向量 vec,并定义了一个函数 append,用于向 vec 中添加一个元素,在 append 函数中,我们使用 std::lock_guard 对象 lock 来锁定 mutex 互斥量,从而保证了多个线程不能同时访问 vec 向量

std::mutex mutex;
std::vector<int> vec;

void append(int x) {
    std::lock_guard<std::mutex> lock(mutex);
    vec.push_back(x);
}

int main() {
    for (int i = 0; i < 10; ++i) {
        std::thread t(append, i);
        t.join();
    }
    return 0;
}

2、std::unique_lock

std::unique_lock 也是一个类模板,用于在构造函数中锁定互斥量,在析构函数中释放互斥量;与 std::lock_guard 不同的是,std::unique_lock 提供了更多的锁定和解锁控制功能,例如:
● 可以在构造函数中选择是否锁定互斥量
● 可以在析构函数中选择是否解锁互斥量
● 可以通过 std::unique_lock::lock 函数手动锁定互斥量
● 可以通过 std::unique_lock::unlock 函数手动解锁互斥量
● 可以通过 std::unique_lock::try_lock 函数尝试锁定互斥量,并在失败时立即返回
std::unique_lock 对象的生命周期可以根据需要延长或缩短,因此它适用于需要在某个作用域外访问共享资源的情况
相关示例代码如下,我们定义了一个互斥量 mutex 和一个共享的向量 vec,并定义了一个函数 append,用于向 vec 中添加一个元素;在 append 函数中,我们使用 std::unique_lock 对象 lock 来锁定 mutex 互斥量,但是我们通过 std::defer_lock 参数来延迟锁定操作;然后我们使用 std::unique_lock::try_lock 函数尝试锁定互斥量,如果成功,则向 vec 向量中添加一个元素;这样,我们可以在不阻塞的情况下尝试访问共享资源

std::mutex mutex;
std::vector<int> vec;

void append(int x) {
    std::unique_lock<std::mutex> lock(mutex, std::defer_lock);
    if (lock.try_lock()) {
        vec.push_back(x);
    }
}

int main() {
    std::unique_lock<std::mutex> lock(mutex);
    for (int i = 0; i < 10; ++i) {
        std::thread t(append, i);
        t.join();
    }
    return 0;
}

3、总结

std::unique_lock 与std::lock_guard都能实现自动加锁与解锁功能,但是std::unique_lock要比std::lock_guard更灵活,但是更灵活的代价是占用空间相对更大一点且相对更慢一点
总之,std::lock_guard 和 std::unique_lock 都是用于管理互斥量的工具,但它们的作用和用法不同
● std::lock_guard 适用于需要在某个作用域内访问共享资源的情况
● std::unique_lock 则提供了更多的锁定和解锁控制功能,适用于需要在某个作用域外访问共享资源的情况

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值