Quartus联合Vscode开发教程

Quartus联合Vscode开发教程

quartus自带的编辑器界面比较单一,并且关键词联想和对齐等功能并不齐全,而vscode作为一款功能十分强大的文本编辑器,因其操作简便,界面优美,功能扩展丰富深受广大程序员的热爱。因此本文介绍了一种quartus联合vscode开发的方法。

安装vscode

官网安装vscode,按照提示进行安装即可。

打开vscode,下载安装一些常用的插件better align,better comments,brackest pair colorizer,chinese(中文界面插件),code runner,include autocomplete,indent rainbow,local histroy,partial diff,tabnine,todotree,vscode-icons,以上插件只是为了美观和提升工作效率,必须安装的插件是Verilog-HDL,如下图所示

安装Quartus,此处不多做介绍,相关的安装教程有很多。

开始配置Quartus将vscode作为编辑器

打开quartus软件,点击tools中的options,点击其中的preferred text editor,再textx editor栏中选择custom,在command-line中添加如下的信息"E:\Microsoft VS Code\Code.exe" -r -g %f:%l

其中“”中的信息为你的vscode中code.exe文件的位置,如下图所示

在这样配置后,在点击new file,选择文件编写语言后,就可以自动跳转到vscode中,便可以在vscode中编写virelog代码。

注意,此时自动生成的.v文件的默认命名为virelog1.v,这样在编译时会因为文件命名与module后声明的名称不同而报错,因此在写完代码,准备编译的时候应该在vscode中将此,v文件另存为正确的命名(与module后名称保持一致),在quartus中鼠标双击左侧窗口栏中的files(小文件夹图片),在出现的界面中选择file name右侧的…按钮,选择之前另存为的.v文件,再依次点击add,apply,ok。之后再进行编译就不会报错了。相关流程如下图所示

 

 

 

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值