$fscanf 和 $readmemh系统函数使用

$fscanf 和 $readmemh系统函数使用

$fscanf

`timescale 1ns/1ps

module readfiletest11();

reg  [8-1:0]   mem_data[0:31];
integer i;
integer fib;
integer cnt;
initial begin
    fib = $fopen("gray_txt.txt", "r");
    for(i=0; i<8; i=i+1) begin
        cnt = $fscanf(fib, "%h", mem_data[i]);
        $display("mem_data[%d] = %h", i, mem_data[i]);
    end
    $fclose(fib);
end

endmodule

注:$fscanf(文件指针,读取数据格式,存储数组);一次只读取文件中的一行数据。

例如:$fscanf(fib, "%h", mem_data[i]);其中,存储数组名要与符合读取数据格式的数据的位宽以及长度相对应。即"%h"表示只读一个十六进制的八位数据,则存储数组应定义位宽为8位,且长度为1,即参数3为数组中的一个元素mem_data[i]。
$readmemh
使用 $readmemh以十六进制的数据格式来读取数据文件。
使用格式为 $readmemh(文件名,存储器名);
例如:

integer i;
initial begin
    $readmemh("gray_txt.txt", mem_data);
    for(i=0; i<8; i=i+1) begin
        $display("mem_data[%d] = %h", i, mem_data[i]);
    end
end

注:可一次性读取文件中的所有数据存储在指定的存储器中。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值