自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 收藏
  • 关注

原创 EDA第三次实验(VHDL)--时序电路设计

7. 分频器设计(分频输出:1Hz或2Hz的信号) 要求:实验开发板上有一个50MHz的时钟脉冲(此频率过高,接到开发板的LED灯后,无法观察到LED灯一 亮一灭的过程),设计一个分频器,使得分频后的时钟脉冲接到开发板上的LED灯后,肉眼可以观察到LED灯 闪烁。 8. 设计一个十进制加法计数器 使用设计的分频器的输出信号作为计数器的时钟输入,再利用第二次实验中设计的七段显示译码器显示 计数值。 9. 巴克码发生器设计和巴克码检测器设计。 实验任务一:分频器设计 【实验代码】 library ie

2022-03-12 16:59:31 2453 1

原创 山东大学EDA第二次硬件实验

实验任务一:七段译码器(共阳极) 【实验代码】 七人表决: library ieee; use ieee.std_logic_1164.all; entity vote is port(a:in std_logic_vector(6 downto 0); y:out std_logic); end vote; architecture info of vote is begin process(a) variable i:integer; begin i:=0; if(

2022-03-08 20:53:43 526

log-power-2023-07-31-204239.session

log-power-2023-07-31-204239.session

2023-08-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除