自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 资源 (1)
  • 收藏
  • 关注

原创 golang通过reflect,tag设定参数值

package mainimport ( "encoding/json" "fmt" "reflect" "strconv" "time")type ATest struct { AAA string `json:"AAA" def:"123456"` BBB time.Duration `json:"BBB" def:"8000000000"` CCC bool `json:"CCC" def:"true"` DDD uint64

2020-11-18 13:24:25 546

原创 epoll-socket

未編譯未測試不負責CEpoll.h#ifndef __C_EPOLL_H__#define __C_EPOLL_H__#include <sys/epoll.h>#include <errno.h>#include "gio/gio.h"#include "glib/glib.h"#include "common-def.h"#define MAX_EVENT_FD 100#define TIME_OUT 10#ifdef EPOLLRDHUP#defin

2020-06-16 11:10:28 149

原创 一個多線程隊列

#ifndef __C_QUEUE__H__#define __C_QUEUE__H__#include <queue>#include <pthread.h>using namespace std;template <class T>class cQueue{public: cQueue() { pthread_mutex...

2020-03-20 16:32:26 139

原创 去除代码注释

```cpp/*这块代码只是简单实现了,并不能适用所有情况,而且有可能把原有的空行删掉或删掉注释后存在空格orTAB*/#include <iostream>#include <string.h>#include <fstream>using namespace std;string rtrim(string & buffer, c...

2019-12-19 15:50:16 382

原创 linux go环境搭建

安装Centos系列可以找到相关的rpm包使用,直接使用yum install -y golang即可ubuntu18.04 虽然提供了相关的deb包,但是版本太低,需要高版本的需要自己搭建环境首先下载golang按照说明解压:tar -zxvf go1.13.5.linux-amd64.tar.gz -C /usr/local修改配置文件 ~/.bash_profile 或者 ~...

2019-12-11 16:20:27 142

原创 libvirt api開發demo

测试需要libvirt-devel支持:yum install -y libvirt-develcJsonjson格式化工具#include <stdio.h>#include <stdlib.h>#include <libvirt/libvirt.h>#include <libvirt/libvirt-qemu.h>#include ...

2019-12-03 15:12:11 230

原创 qemu-kvm双channel创建以及测试

在使用qemu-kvm创建虚拟机时,可以指定创建一个unix套接字,用以进行日常的虚拟机管理。这个套接字在虚拟机内部体现为一个字符设备。 在CentOS7系统中使用qemu-kvm创建时,相关参数为:-chardev socket,id=charchannel0,path=/var/lib/libvirt/qemu/channel/target/domain-1-cenos7/org.qe...

2019-11-30 16:27:15 785

原创 CentOS7下msitools安裝

CentOS7下暂无官方的msitools.rpm包,安装需要下载源码下载地址下载安装后进入目录首先添加构建所必须的包,下列不全yum install -y bison libgsf-devel libgcab1-devel gcc./configuremake all install安装后wixl打包工具位于/usr/local/bin/wixl环境变量声明 wixl -o m...

2019-11-25 17:29:13 524

原创 ptrace進程退出狀態跟蹤

ptrace進程退出狀態跟蹤#include <stdio.h>#include <stdlib.h>#include <signal.h>#include <sys/ptrace.h>#include <sys/types.h>#include <sys/wait.h>#include <>int...

2019-09-21 17:31:25 265

cairo-1.16.0.tar.xz

源码

2021-01-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除