自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (2)
  • 收藏
  • 关注

空空如也

单片机秒表计时器

单片机秒表计时器F310 汇编语言 ;使用资源 30H-31H 存放10进制BCD码的16位 ;40H-43H显存 R0拆分指针 R1存储记录时间的指针 R3 R4 延时子程序 ;44H-77H存储记录时间 ;按键功能 ;F键实现记录当前时刻的功能 ;KINT键实现开始,暂停,继续,报错后复位功能 ;K0键实现计时归零功能 ;K1-K14键分别按键后显示先后记录的时间

2013-12-07

单片机彩灯控制实验(移位寄存器,键盘,数码管)

利用键盘和移动寄存器控制彩灯,同时用数码管显示。单片机课程设计。

2013-12-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除