计算机组成实验alu设计,湘潭大学 计算机组成与原理 算术逻辑单元ALU设计 实验报告...

湘 潭 大 学 实 验 报 告

课程名称 计算机原理与设计 实验名称 算术逻辑单元ALU设计实验 页数

专业 班级 同组者姓名 无

组别 学号 姓名 实验日期

一、 实验目的

a) 理解算术逻辑单元ALU 的工作原理。

b) 掌握算术逻辑单元ALU 的设计方法。

c) 验证32位算术逻辑单元ALU 的加、减、与、移位功能。

d) 按给定数据,完成几种指定的算术和逻辑运算。

二、 实验要求

1、做好实验预习,掌握运算器的数据传送通路和ALU 的功能特性,并熟悉本实验中所用的控制台开关的作用和使用方法。

2、写出实验报告,内容是:

①实验目的;

②按理论分析值填写好表1-2、表1-3,给出对应的仿真波形。

③列表比较实验数据(2)的理论分析值与实验结果值;并对结果进行分析。实验结果与理论分析值比较,有没有不同?为什么?

④通过本实验,你对运算器ALU 有何认识,有什么心得体会?

三、 实验原理

算术逻辑单元ALU 的设计如图1-1所示。其中运算器addsub32能实现32位的加减运算。参加运算的两个32位数据分别为A[31..0]和B[31..0],运算模式由aluc[3..0]的16种组合决定,而aluc[3..0]的值由4位2进制计数器LPM_COUNTER 产生,计数时钟是Sclk (图1-1);r[31..0]为输出结果,Z 为运算后的零标志位。ALU 功能如表1-1所示。

表1-1 ALU 的运算功能 选择端aluc

ALU 功能

3 2 1 0

* 0 0 0

B A R 加= * 0 0 1

B A R 与= * 0 1 0

B A R ⊕= * 1 0 0

B A F -= * 1 0 1 B A R +=

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值