自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(151)
  • 资源 (4711)
  • 收藏
  • 关注

转载 fwr171改无线服务器,迅捷(Fast)FWR171无线AP模式设置

本文介绍了迅捷FastFWR171无线路由器的无线AP模式的设置方法,首先将FWR171-3G与前端有线Fast(迅捷)FWR171迷你无线路由器的无线AP模式的配置过程需要3个步骤:1、设置电脑IP;2、设备链接;3、配置FWR171;4、再次配置电脑IP;5、修改FWR171管理地址,下面会详细的介绍设置过程。步骤一:设置电脑IPFast(迅捷)FWR171迷你路由器在AP模式下默认不会启用D...

2021-08-13 23:22:53 660

转载 游戏维护关闭服务器,游戏服务器正在维护中

游戏服务器正在维护中 内容精选换一换用户创建裸金属服务器后,可以通过“申请状态”栏查看任务的创建状态。创建裸金属服务器的任务可以包括创建裸金属服务器资源、绑定弹性公网IP、挂载云硬盘等子任务。申请状态栏的任务状态包括如下两类:处理中:指系统正在处理的请求。处理失败:指未能成功处理的请求。对于处理失败的任务,系统会自动回退,同时在界面上直观的展示错误码,例如“(BMS.303待挂载的磁盘与裸金属服务...

2021-08-06 16:14:51 368

转载 ajax1003无标题,AJAX获取数据问题

CREATE TABLE area (areaid INT IDENTITY NOT NULL,areaname VARCHAR ( 50 ),Fatherld INT,CONSTRAINT PK_area PRIMARY KEY NONCLUSTERED (areaid))insert into area(areaname ,Fatherld) values('root1',-1)insert ...

2021-08-06 06:18:14 226

转载 服务器虚拟化项目方案的实施,服务器虚拟化部署实施方案.doc

服务器虚拟化部署实施方案———————————————————————————————— 作者:———————————————————————————————— 日期:服务器虚拟化部署方案数据库服务器设计说明在数据库服务器的配置中,对数据库服务器性能影响较大的有:CPU:数据库查询和修改操作都需要消耗大量的CPU资源,另外数据库都是多线程应用程序,使用SMP(对称多处理)系统能够提供更好的性能。C...

2021-08-03 20:55:38 342

转载 小型邮件服务器,windows 2003 server小型邮件服务器架设

一、安装pop3和smtp服务组件:1、安装pop3服务组件:以系统管理员身份登录windows 2003 server系统,依次进入“控制面板--添加或删除程序--添加/删除windows组件”,在弹出的“windows组件向导”对话框中选中“电子邮件服务”选项,点“详细信息”按钮,可以看到该选项包括两部分内容,pop3服务和pop3服务web管理;为方便用户远程web方式管理邮件服务器,建议选...

2021-08-02 18:12:16 350

转载 exchange邮件中继服务器搭建,使用 Exchange 2010 传输中继应用程序服务器 SMTP 通信...

使用 Exchange 2010 传输中继应用程序服务器 SMTP 通信05/13/2016本文内容适用于: Exchange Server 2010 SP2, Exchange Server 2010 SP3上一次修改主题: 2012-01-16在 Microsoft Exchange Server 2010 中,接收连接器和负载平衡概念与 Exchange Server 2007 中保持相同。...

2021-08-02 16:15:00 733

转载 怎么取消工作组计算机,windows10系统如何退出workgroup工作组 windows10系统退出workgroup工作组的操作方法...

很多用户都知道windows10系统在默认情况下,会加入到名为workgroup的工作组,那么windows10系统如何退出workgroup工作组呢?下面小编就为大家分享关于windows10系统退出workgroup工作组的操作方法。步骤如下:1、在此电脑上单击鼠标右键,在弹出的右键菜单中选择 【属性】选项;2、在计算机属性界面右侧 【计算机名、域和工作组设置】下方点击【更改设置】按钮;3、在...

2021-07-26 07:20:01 5933

转载 有什么软件可以测试面膜的好坏,检验面膜好坏的小妙招

检验面膜在体验感和敷感上起这着关键作用,选购面膜时,注意面膜的材质是不是显得单薄,检验方法可以用打火机点燃面膜来测试,根据各人对香气的嗜好选择不同香型的产品,最好选择有天然的水果香味的,产品质地细腻均匀,色调自然,就是好的面膜。检验面膜好坏的小妙招1、看膜材膜材是面膜最为重要的载体,在体验感和敷感上起这着关键作用。选购面膜时,注意面膜的材质是不是显得单薄,精华液的含量是不是太少,一般面膜拿出来如果...

2021-07-23 17:30:56 596

转载 win8计算机安全模式,Win8如何进入安全模式

Win8如何进入安全模式Win8进入安全模式的方法如下:在Windows 8消费者预览版中通过开机按F8或Shift+F8也可以进入安全模式,但是设置比较复杂。可以通过两种方法进入安全模式。第一种方法:1、Windows+R 组合键打开运行框,输入msconfig,回车。2、打开“引导”标签,勾选“引导选项”下“安全引导”的复选框。3、选择安全启动的类型(1)最小(标准的安全模式)(2)其他外壳(...

2021-07-20 06:12:07 1058

转载 西安电子科技大学计算机接口与通用技术答案,西安电子科技大学--西电《计算机接口与通信技术》平时作业...

MOV AL ,03H ;使DTR和RTS均为低电平 OUT DX ,AL MOV DX ,2F9H MOV AL ,03HOUT DX ,AL ;写中断允许寄存器从上面的初始化程序可以看到,首先写除数锁存器。为了写除数,首先写通信线控制寄存器,使DLAB=l,然后写入16位的除数000CH,即十进制数12。由于加在XTAL1上的时钟频率为1.8432MHZ,故波特率为9600波特。...

2021-07-16 20:54:36 506

转载 联想硬盘保护系统计算机名,联想硬盘保护系统的使用方法

如果你使用的是品牌联想机,那么,应该会有联想硬盘保护系统,并且,该系统会根据我们的设置来为我们提供各种服务。一般会在开机的时候自动弹出该系统,如果未弹出(系统就直接进入开机状态),说明被卸载或未启用。当然,你也可以在开机的时候按下 HOME键 打开硬盘保护系统。常见的联系硬盘保护系统的功能,一般用于如下的几个方面:①系统自动还原可以设置保护硬盘中的各个分区或某个分区甚至是所有的分区。这样,当开机的...

2021-07-15 16:51:46 1078

转载 同济大学计算机学院徐老师,第十八届同济大学程序设计竞赛暨高校网络友谊赛圆满落幕...

5月22日18时,2021年第十八届同济大学程序设计竞赛决赛在嘉定校区复楼计算机中心圆满落下帷幕。本次竞赛由同济大学本科生院主办,电子与信息工程学院承办,电子与信息工程学院创新基地与大学生程序设计竞赛实验室(CPCLab)协办。比赛的筹划准备与命题评审工作由电子与信息工程学院创新俱乐部和同济大学ICPC集训队共同组织完成。来自全校23个专业的200余名本科生参加了初赛的选拔,进入决赛的同学与来自华...

2021-07-07 12:43:56 245

转载 女主计算机的学霸,又一部青春网剧开机,学霸女主牵手计算机大神,另类爱情精彩上演...

原标题:又一部青春网剧开机,学霸女主牵手计算机大神,另类爱情精彩上演又一部青春网剧开机,学霸女主牵手计算机大神,另类爱情精彩上演近年来,青春、爱情题材的影视作品层出不穷,同质化现象也越来越严重,剧情上几乎没有特别大的创新,但不得不说这类题材的作品确实很受观众的喜爱,不过看久了难免会觉得乏味,因此这类题材的电视剧就要多加创新,这样才能吸引观众们的眼球,如今又有一部青春网剧开机了。 新剧的名字是《百岁...

2021-06-29 12:38:15 1368

转载 深圳大学计算机英语作业答案,2016年深圳大学大学计算机基础mooc课第四章答案...

测验:第四章作业提交时间:2016-11-29 21:11:53试卷状态:已批改已公布成绩1、假设将选择符号的判断条件disc<0更改为disc>=0,其他的基本符号不变,那么流程图变化不正确的是__________。A:Yes和No互换B:选择结构两分支路径互换C:Yes和No互换,同时选择结构两分支路径互换D:Yes和No互换,或者选择结构两分支路径互换您的回答:C参考答案:C答案...

2021-06-28 22:26:11 1057

转载 计算机英语二考研英语分数线,2017_2017历年考研英语二分数线预测_平均分数分布_分值分配_爱考学...

一、2017年考研英语二分数线预测、平均分数分布2017年考研英语二真题解析:阅读难度比去年略低完型:今年的完型比较简单,其中有70%的考的是词汇,20%考的是逻辑关系。完型本身的分值就很低,每个才0.5分,20个题目你只要能做对10个就可以了,如果能做对12个那就算的上比较优秀的。阅读A:阅读A部分的文章有好多是来自美国的主流杂志很网站,难度比2017年略低,绝大部分是细节题,细节题一般是比较简...

2021-06-27 12:23:00 963

转载 j2ee html5,HTML5+J2EE实现文件异步上传

P.S. HTML5经过了W3C的8年努力,终于正式推广了。这次升级最大的就是升级了XMLHTTPRequest,让它变成了XMLHTTPRequest Level II(这有啥奇怪的?)。这个对象现在非常强大,可能会让所有使用jQuery的人全部重新拾起HTML原生的ajax技术。闲话扯到这,接着是主题:我们今天要实现的就是下面的效果: 这里面文件名、文件大小和MIME都是在选择文件时读取和现...

2021-06-25 21:37:00 108

转载 合肥计算机专业大学排名23,计算机专业大学排名.doc

2018 计算机专业 大学排名【教育部】?根据教育部最新第四轮学科评估结果可知,全国共有168所开设计算机专业的大学参与了计算机专业大学排名,其中排名前4的大学是 北京大学、清华大学、 浙江大学、国防科技大学,这是国内目前计算机 专业排名第一梯队,能力不相上下,以下具体榜单,还比较权威,供大家参考:?1、计算机专业大学排名?序号学校名称评估结果1北京大学A+2清华大学A+3浙江...

2021-06-23 13:36:34 1033

转载 计算机英语教案模板,小学英语教案模板三篇

小学英语教案怎么写?无忧考网小编整理了小学英语教案模板三篇,希望对你有帮助! 《How Do You Go to School?》教学设计模板一、教材分析:本课是冀教版小学英语(三年级起始版)第四册第三单元(All about me)的第4个新授课,Lesson16:How do you go to school? 经过一年半的学习,四年级下学期孩子们学习的重点逐渐过渡到各种基本句型。本单元前面...

2021-06-23 00:07:01 332

转载 html传递guid参数,C#中的Guid.ToString鲜为人知参数用法

我们最常用的就是Guid.NewGuid().ToString()。殊不知它还可以带参数。Guid.NewGuid().ToString()得几种格式显示。1、Guid.NewGuid().ToString("N") 结果为: 38bddf48f43c48588e0d78761eaa1ce62、Guid.NewGuid().ToString("D") 结果为: 57d9...

2021-06-21 04:28:53 187

转载 左撇子的人怎么使用计算机,小漫画讲述冷知识,左撇子很苦恼,电脑自动弹窗发明者是谁?...

原标题:小漫画讲述冷知识,左撇子很苦恼,电脑自动弹窗发明者是谁?这个世界上仍旧隐藏着很多不为人知的冷知识,因为这些知识的领域太过于偏僻而不为大众所熟知。外国画师将一些被人类忽视或者是无从得知的冷知识,绘制成小漫画。看过画师绘制出来的这些冷知识小漫画之后,我们才发现原来世界上还有这么多我们未知的领域,正所谓学无止境。 1左撇子比右撇子更辛苦在日常生活中,左撇子比右撇子要更加辛苦。那是因为人类中间的右...

2021-06-20 07:40:12 333

转载 计算机网络密码用户名是什么,宽带连接的用户名和密码是什么

用于宽带连接的用户名和密码实际上是用于Internet访问的帐户和密码. 当客户处理宽带服务时,由相关运营商提供,宽带用户名和密码为私有用户名和密码. 宽带实际上并不是严格意义上的定义. 一般来说,它是指需要在人们可以感觉到的网络上传输各种媒体.宽带是一个动态且不断发展的概念. 宽带网络可以大致分为三个部分,即传输网络,交换网络和接入网络. 相关技术分为三种,即传输技术,交换技术和接入技术.宽带用...

2021-06-19 20:01:26 30030

转载 nginx转发html文件,Nginx 转发匹配规则的实现

一、正则表达式匹配~ 为区分大小写匹配~* 为不区分大小写匹配!~ 和 !~* 分别为区分大小写不匹配及不区分大小写不匹配二、文件及目录匹配-f 和 !-f 用来判断是否存在文件-d 和 !-d 用来判断是否存在目录-e 和 !-e 用来判断是否存在文件或目录-x 和 !-x 用来判断文件是否可执行三.rewrite指令的最后一项参数为flag标记,flag标记有last 相当于 apache 里...

2021-06-17 03:34:20 2437

转载 实训报告万能模板计算机专业,有关大学生计算机专业实习报告模板

《有关大学生计算机专业实习报告模板.doc》由会员分享,可免费在线阅读全文,更多与《有关大学生计算机专业实习报告模板》相关文档资源请在帮帮文库(www.woc88.com)数亿文档库存里搜索。1、实习体会职高生活让我对计算机理论知识有了定的了解。但实践出真知,唯有实习报告模板。实习体会职高生活让我对计算机理论知识有了定的了解。但实关大学生计算机专业实习报告模板。实习内容能对电脑交易和具体的电脑安装...

2021-06-16 21:38:25 263

转载 html5c与vr哪个好,中兴Blade V8与小米5C对比评测:同档价格 骁龙435和澎湃S1谁更好?...

标签:小米5c(501)小米手机(2423)在今年的千元新机中,小米5C和中兴Blade V8均主打小屏,售价也均为1499元,目前也均只有一个版本,并且这两款新机关注度都颇高。对于打算买1500左右的千元机用户来说,小米5C和中兴Blade V8哪个好呢?今天小编就来对比讨论下这两款机型,看看它们都有哪些区别,一起来看看哪款可能更适合你。老规矩,为了百事网网友更为直观的了解中兴Blade V8与...

2021-06-16 03:30:13 222

转载 2021甘肃省高考成绩查询日期,2021年甘肃省高考分数公布时间

高考已经结束了,相信同学们都非常期待高考成绩,然后进入理想的大学,那么你知道高考成绩的公布时间了吗?小编在这给大家带来2021年甘肃省高考分数公布时间与高考志愿填报技巧,欢迎大家借鉴参考!2021年甘肃省高考分数公布时间高考评卷分别在兰州大学西北师范大学、西北民族大学三个评卷点进行按照时间安排6月10日开始试评6月22日省教育考试院将在未解密考生成绩的情况下分析各项数据,科学测算拟定各批次各科类分...

2021-06-11 18:58:28 197

转载 html tr中可以有br吗,html table tr td br 什么意思 缩写

代表表格代表表格中的一行代表表格中的一列'TR'与'TD'交成一个单元格...之间有多少个,就有多少行...之间有多少个,就有多少列table>单元格1单元格2单元格3单元格4该例在浏览器中将显示如下:单元格1单元格2单元格3单元格4和有什么区别?上例可能是到目前为止你见过的最复杂的HTML例子,现在让我们来逐一解释其中的各个标签的含义:用于创建表格的3个基本元素是:首标签和尾标签分别表示一...

2021-06-10 14:54:01 542

转载 html隐藏目录在哪里查找,使用Dirsearch查找隐藏目录

对网站进行安装Dirsearch我们需要做的第一件事是从GitHub安装dirsearch 。最简单的方法是使用git。因此,如果尚未在系统上安装它,请在终端中使用以下命令进行安装:apt-get update && apt-get install git现在,我们可以使用git clone命令来克隆该工具所在的目录:git clonehttps://github.com/maur...

2021-06-09 22:52:47 748

转载 discuz 生成静态html,Discuz论坛静态化设置方法

URL静态化可以提高搜索引擎抓取,Discuz论坛程序是如何实现URL静态化的呢?为给大家提供发布问题、解决问题、分享经验的平台,本站现已开启经验交流区(基于Discuz论坛程序)。刚使用Discuz程序搭建交流区的时候碰到了几个问题,不过通过百度一下均都得以解决。特开启Discuz栏目为DZ建站者们分享Discuz常见问题及解决方案,希望经验分享为你所用!Discuz论坛程序如何开启URL静态化...

2021-06-07 15:57:22 1548

转载 html 调用main方法,ipcmain和ipcrenderer从index.html调用main.js函数

我是新来的electron这是我的package.json:{"name": "hello","version": "1.0.0","description": "hello app","main": "main.js","scripts":{"start": "electron ."},"keywords": [],"author": "Rushikant Pawar","license": "...

2021-06-05 01:13:28 541

转载 html js 做的小游戏,用js做一个小游戏平台 (一)

记得上班写代码时,我们技术总监总说是要先“设计”,那就先“设计”吧。ps:我是新手大家多多见谅。.网页游戏区域。就是说需要知道游戏在网页上的区域,如下:在网页中插入一个div,设定宽高和id,然后再js中得到该对象,var _GameFrame = document.getElementById("GameFrame");.键盘参数对象:在游戏中会经常获取键盘的值,设定一个键值对应的对象:var ...

2021-05-30 20:18:39 751

转载 android镜像优化,Android 稀疏镜像格式 (android sparse image format)

$ system/core/libsparse/simg_dump.py -v dist_output/target_files-eng/IMAGES/userdata.imgdist_output/target_files-eng/IMAGES/userdata.img: Total of 524288 4096-byte output blocks in 55 input chunks.inp...

2021-05-29 09:51:22 324

转载 Android微信小程序原理,微信小程序事件流原理解析

这篇文章主要介绍了微信小程序事件流原理解析,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友可以参考下一、什么是事件?事件是视图层到逻辑层的通讯方式;事件可以将用户的行为,反馈到逻辑层进行处理;事件可以绑定在组件上,触发事件后,就会执行逻辑层中对应的事件处理函数;事件对象可以携带额外信息。二、事件模型事件分为事件捕获阶段、事件冒泡阶段、事件处理阶段事件对象的属...

2021-05-29 09:19:55 193

转载 android opencv hu moment,学习OpenCV:hu矩

hu矩为描述整体图像特征的一种方法,零阶矩表示它的总质量;一阶矩表示它的质心;二阶矩又叫惯性矩,表示图像的大小和方向。通过计算普通矩可以知道图像的重心坐标、形状方向等;Mat imgSrc = imread("lena.jpg", IMREAD_GRAYSCALE);threshold(imgSrc, imgSrc, 50, 255, THRESH_BINARY);Moments mom = mo...

2021-05-28 14:59:57 107

转载 android 图片变量,Android开发实现ImageView加载摄像头拍摄的大图功能

本文实例讲述了Android开发实现ImageView加载摄像头拍摄的大图功能。分享给大家供大家参考,具体如下:这个方法是从官方demo中摘录的,在此记录学习。权限android:name="android.hardware.camera2"android:required="false" />设置变量保存文件存储路径private String mCurrentPhotoPath;/***...

2021-05-28 03:55:01 126

转载 android studio m1,Android Studio 4.0发布 为Android 11做好准备

新的 Motion 编辑器MotionLayout API扩展了ConstraintLayout丰富的功能,可以帮助 Android 开发管理应用的复杂运动和 widget 动画,在 Android Studio 4.0 中,新的Motion 编辑器使这一API 的使用变得更加容易,该编辑器是用于创建、编辑和预览MotionLayout动画的强大界面。开发者不再需要创建和修改复杂的 XML 文件...

2021-05-27 14:42:03 386

转载 android 分段显示百分比,按百分比设置排名-Android DisplayMetrics

我喜欢对应用程序中的所有位置使用百分比.我总是使用相同的系统.我是android编程的新手.这是课程:public class SCREEN {DisplayMetrics dm = new DisplayMetrics();Point size_ = new Point();int width;int height;// DisplayMetrics metrics = Resources....

2021-05-26 18:48:44 202

转载 C语言查看队头元素,C语言实现循环队列的初始化&进队&出队&读取队头元素&判空-1...

目前,处在学习数据结构+ing,由于之前学过了队列,今天就把自己写过的代码做了一些完善分享给大家,希望能够帮助到有需要的朋友,有不足的地方欢迎大家交流 φ(゜▽゜*)♪队列是另一种限定性的线性表,它只允许在表的一端插入元素,而在另一端删除元素,所以队列具有先进先出的特性。一、系统用到的抽象数据类型定义:ADT Queue{数据元素:可以是任意类型的数据,但必须属于同一个数据对象。数据关系:队...

2021-05-23 02:22:41 1654

转载 new是不是c语言运算符优先级表,C语言运算符优先级列表(超详细)

《C语言运算符优先级列表(超详细)》由会员分享,可在线阅读,更多相关《C语言运算符优先级列表(超详细)(7页珍藏版)》请在人人文库网上搜索。1、本篇文章是对C语言中运算符的优先级进行了详细的分析介绍,需要的朋友参考下每当想找哪个运算符优先级高时,很多时候总是想找的就没有,真让人气愤!现在,终于有个我个人觉得非常全的,分享给大家,欢迎拍砖!C语言运算符优先级优先级运算符名称或含义使用形式结合方向说明...

2021-05-22 04:01:07 134

转载 24点游戏c语言去除重复,C语言解24点游戏程序

该楼层疑似违规已被系统折叠隐藏此楼查看此楼前几天在微博上看到24点的游戏,6 6 610。算了很久是在算不出来,最后我想我何不写一个小程序解决它?说做就做,我刚开始的想法很简单,就是列举4个数的所有可能的表达式组合,然后计算表达式是否等于24,如果是的话就找到了答案。但我花了一晚的时间都没有写出程序来…第二天我改变了思路,这也是从一些答案里得到的灵感。例如一个3 8 3 8,它的答案是 3/(3...

2021-05-19 19:30:10 271

转载 c语言分隔符分离出str字符串中的数字,C语言版Tokenize()函数,由分隔符获取字符串...

测试环境:操作系统: Windows10 64位运行环境: Visual Studio 10函数:/***************************************************************/* 函 数 名:Tokenize/* 函数功能:C语言版Tokenize(),类似MFC/VC++中的CString类的方法/* Tokenize...

2021-05-18 04:31:54 469

Wiring部份-academicwriting3rdedanswerkey

9.2 Wiring部份 在 Constraint Manager 中的 Net>Routing>Wiring 工作窗体可用来设定 Net_Schedule、Stub_Length、Max_Via_Count、Max_Exposed_Length、Max_Parallel 等 5 种属性,接下来将介绍如何使用 Wiring 工作窗体的相关功能。 在此范例中,将输入下列的设定值,并让 Constraint Manager 做实时的分 析: Bus ADDR_A - Stub_Length = 50 mil,Max_Via_Count = 2 Net A1 - Stub_Length = 50 mil,Max_Via_Count = 1 Net A2 - Stub_Length = 30 mil,Max_Via_Count = 3 其设计步骤如下: 1. 首先复制范例光盘中的AllegroII\Cmgr目录下的 cmgr5.brd到你的工作 目录下,然后使用 Allegro 开启电路板档案 cmgr5.brd。 2. 先确认 Allegro 系统没有执行任何的命令后,执行 Setup>Electrical Constraint Spreadsheet…命令,出现 Constraint Manager 的窗口。 (1) 如果在 Constraint Manager 的窗口中没有出现工作窗体选择区,可 以使用 View>Options…命令,然后勾选在 Main Window 中的 Worksheet Selector 选项,即可再次显示出工作窗体选择区。 (2) 首先使用鼠标左键点选位于左边工作窗体选择区中的 Net>Routing>Wiring 工作窗体,然后此窗体会出现在右边的工作窗 体编辑区中。 (3) 最后在左边工作窗体选择区中的下面空白处按下鼠标右键,出现 一个弹出式选单,选择 Hide 功能,将左边的工作窗体选择区隐藏 起来,如图 9-43 所示。

2021-07-12

使用限制-academicwriting3rdedanswerkey

8.1 使用简介 一、主要功能 Constraint Manager 的主要功能为透过工作窗体的操作接口,协助工程师可以 更方便地设定/维护讯号的属性,并可以实时分析其设定结果。 二、系统支持 Allegro 14.0 以后的版本才有此功能,并仅限于 Allegro Expert 或 Allegro Studio (必须有 Performance Option) 的系统,而其它的 Allegro 产品等级,例如: Allegro Designer 及 Allegro PCB,并不支持此功能。 如果工程师目前是使用 Allegro Designer 或 Allegro PCB,若有 Allegro Expert 或 Allegro Studio (必须有 Performance Option)系统的 License 时,请使用 File>Change Editor 命令,将等级改成 Allegro Expert 系统或 Allegro Studio (必须有 Performance Option) 系统。 三、使用限制 使用 Constraint Manager 时,若 Allegro 正在使用其它命令时,Constraint Manager 会出现下列提示,如图 8-1 所示。说明 Allegro 正在使用其它命令,此时 使用者只需将 Allegro 的命令结束掉,即可正常使用 Constraint Manager 的功能。 图 8-1 所以 Allegro 必须是在 Idle 状态下,才能进行修改 Constraint Manager 的内容, 否则只能有查看的功能,即 Allegro 的右下角 Status Window 内的 Cmd 字段必须是 处于 Idle 的状态。 四、实时分析 使用者可以在 Constraint Manager 中,针对 1 个或 1 个以上的项目立刻执行 Analyze (即 DRC 的检查),并将分析的结果填入 Actual 及 Margin 字段中。

2021-07-12

生成完整存档-基于滑膜控制理论的永磁同步电机的控制方法及系统

15.6 生成完整存档 SINUMERIK Operate (IM9) 342 开机调试手册, 10/2015, 6FC5397-1DP40-5RA3

2021-07-12

流媒体数据流程讲解-tongweb7企业版用户手册

3.2 流媒体数据流程讲解 FFMpeg 的 output_example.c 例子分析 该例子讲了如何输出一个 libavformat 库所支持格式的媒体文件。 (1)av_regis ter_all(),初始化 libavcodec 库,并注册所有的编解码器和格式。 (2)guess_form at(),根据文件名来获取输出文件格式,默认为 mpeg。 (3)av_alloc_form at_context()分配输出媒体内容。 ov->oform at = fm t; s nprintf( oc->filename, sizeof(oc->filename), “%s ”, filenam e ); (4)add_video_s tream ()使用默认格式的编解码器来增加一个视频流,并初始化编解码器。 (4.1)av_new_s tream ()增加一个新的流到一个媒体文件。

2021-07-12

网络文件系统使用349_下载-深信服scsa认证考试总题库

29.4 端口映射器 包含远程过程的 R P C服务器程序使用的是临时端口,而不是知名端口。这就需要某种形 第29章 网络文件系统使用349 下载 图29-2 RPC应答报文作为一个UDP数据报的格式 IP首部 20字节 UDP首部 事务标识符(XID) 应答(1) 状态(0=接受) 验证 接受状态(0=成功) 过程结果 ⋯ 依赖于具 体过程 Sun RPC过 程应答的 公共部分 最多400字节

2021-07-12

IP路由选择使用85_下载-深信服scsa认证考试总题库

第9章 IP路由选择使用85 下载

2021-07-12

查看调用的程序-cp340模块使用手册

5.6 查看调用的程序 在强制线圈列表子窗口变更强制 ON/OFF 5-17 5 5.6 查看调用的程序 通过 SEE 命令和 FUNC 命令可以打开正在查看的图纸。 选择要确认程序的 SEE 命令对象或 FUNC 命令对象,从主菜单中选择 Debug - Open Program (R)。

2021-07-11

是否处理几个特殊的调试点-西门子simatic et200sp数字量输出模块

(4)是否处理几个特殊的调试点 语法: debug_points[stop|abort] 这个配置项也是用来帮助用户跟踪调试Nginx的。它接受两个参数:stop和abort。Nginx 在一些关键的错误逻辑中(Nginx 1.0.14版本中有8处)设置了调试点。如果设置了 debug_points为stop,那么Nginx的代码执行到这些调试点时就会发出SIGSTOP信号以用于调 试。如果debug_points设置为abort,则会产生一个coredump文件,可以使用gdb来查看Nginx当 时的各种信息。 通常不会使用这个配置项。 (5)仅对指定的客户端输出debug级别的日志 语法: debug_connection[IP|CIDR]

2021-07-11

系统里线程使用方式-《合作式智能运输系统 车用通信系统应用层及应用数据交互标准》(tcsae 53-2017)

(1)架构合理性 (2) 数据库设计合理性 (3)代码 (4) 系统里内存的使用方式 (5) 系统里线程使用方式 (6) 系统资源是否有恶性,不合理竞争 (7) 作为一个开发人员,只关注功能的代码实现,很少有精力去关注数据库的设计,框 架的设计是否合理,系统里内存的使用方式是否合理、系统里线程使用方式是否合理、系统 资源会不会有可能存在不合理竞争。 他们通常认为这些是架构师去考虑的问题,但是在我国 普遍的中小软件公司,很少有去考虑这些事情。

2021-07-11

滤波和相干性生成参数设置面板-pt5108规格书 high-psrr 500ma ldo

图4.7 滤波和相干性生成参数设置面板 点击 Next 按钮,进行干涉图滤波和相干性生成处理,处理完成之后,自动加载滤波后 的干涉图_fint 和相干性系数图_cc。这一步处理之后生成的结果有: - INTERF_out _fint:滤波后的干涉图 - INTERF_out _cc:相干性系数图

2021-07-11

雾霾天气-pt5108规格书 high-psrr 500ma ldo

图 1.1 雾霾天气  气溶胶光学厚度(aerosol optical thickness—AOT)是气溶胶的光学属性之一,表示 的是单位截面的垂直气柱上的透过率,有时候又叫大气混浊度,它是一个无量纲的正值 (DuBois,2002)。在有些文章里 AOT 也写为 AOD(aerosol optical deepness)。数值范围 在 0~1 之间,0 代表完全透明大气,1 代表完全不透明的大气,气溶胶光学厚度越大, 大气透过率越低。值的大小主由气溶胶质粒的数密度、尺度分布、气溶胶类型等物理、 光学属性来决定。 卫星遥感手段以其时效性高、覆盖面广、分辨率高等优势使得快速大面积监测气溶胶情 况成为可能。MODIS 是先进的多光谱遥感传感器,具有 36 个观测通道,覆盖了当前遥感卫 星的主要观测波段。

2021-07-11

ICMP路由器发现报文-高校正版授权endnote x9下载、安装及使用详细教程

9.6 ICMP路由器发现报文 在本章前面已提到过一种初始化路由表的方法,即在配置文件中指定静态路由。这种方 法经常用来设置默认路由。另一种新的方法是利用 I C M P路由器通告和请求报文。 一般认为,主机在引导以后要广播或多播传送一份路由器请求报文。一台或更多台路由 器响应一份路由器通告报文。另外,路由器定期地广播或多播传送它们的路由器通告报文, 允许每个正在监听的主机相应地更新它们的路由表。 RFC 1256 [Deering 1991]确定了这两种I C M P报文的格式。I C M P路由器请求报文的格式如 图9 - 6所示。I C M P路由器通告报文的格式如图 9 - 7所示。 路由器在一份报文中可以通告多个地址。地址数指的是报文中所含的地址数。地址项大 小指的是每个路由器地址 32 bit字的数目,始终为 2。生存期指的是通告地址有效的时间(秒 数)。 图9-6 ICMP路由器请求报文格式 92使用TCP/IP详解,卷1:协议 下载 类型(10) 代码(0) 检验和 未用(置为0发送) 8字节

2021-07-11

对象工厂-vscode 配置 python3开发环境的方法

9.5 对象工厂 VTK3.0 版本和以后的有一个潜能,允许你在运行时扩展 VTK。使用对象工厂,你可以使用 你自己的一个创建代替一个 VTK 对象。例如,如果你有特定的硬件,你通过在运行时使用 你自己的对象代替 VTK 中的对象来创建你自己的高性能滤波器。因此,如果你想要使用一 个在硬件中执行 FFT 操作的滤波器来代替 vtkImageFFT,或者使用一个高性能,集合代码操 作代替 vtkTetra,你可以作到这点。这里是使用对象工厂的好处。

2021-07-11

单色呼吸灯实验-天线测量手册

40.4 单色呼吸灯实验 首先以单色呼吸灯工程为例,其核心的驱动代码分别位于 bsp_breathing.c 和 bsp_breathing.h 文件中,可根据应用需要移植这些文件。 40.4.1 编程要点 1) 初始化 PWM 输出通道,初始化 PWM 工作模式; 2) 计算获取 PWM 数据表; 3) 编写中断服务函数,在中断服务函数根据 PWM 数据表切换比较寄存器的值; 40.4.2 代码分析 1. LED 灯硬件相关宏定义 为方便迁移代码适应其它硬件设计,实验中把硬件相关的部分使用宏定义到 bsp_breathing.h 文件中,使用不同硬件设计时,修改该文件即可,见代码清单 40-1。 代码清单 40-1 硬件相关宏定义(bsp_breathing.h 文件) 1 2 #define RED_LIGHT 1 3 #define GREEN_LIGHT 2 4 #define BLUE_LIGHT 3 5 6 /*要使用什么颜色的呼吸灯,可选 RED_LIGHT、GREEN_LIGHT、BLUE_LIGHT*/ 7 #define LIGHT_COLOR RED_LIGHT 8 9 /********************定时器通道**************************/ 10 #if LIGHT_COLOR == RED_LIGHT 11 /************红灯***************/ 12 #define BRE_TIMx TIM3 13 14 #define BRE_TIM_APBxClock_FUN RCC_APB1PeriphClockCmd 15 #define BRE_TIM_CLK RCC_APB1Periph_TIM3 16 #define BRE_TIM_GPIO_APBxClock_FUN RCC_APB2PeriphClockCmd 17 #define BRE_TIM_GPIO_CLK (RCC_APB2Periph_GPIOB|RCC_APB2Periph_AFIO) 18 19 //红灯的引脚需要重映射 20 #define BRE_GPIO_REMAP_FUN() GPIO_PinRemapConfig(GPIO_PartialRemap_TIM3, ENABLE); 21 22 #define BRE_TIM_LED_PORT GPIOB 23 #define BRE_TIM_LED_PIN GPIO_Pin_5 24 25 #define BRE_TIM_OCxInit TIM_OC2Init //通道选择,1~4 26 #define BRE_TIM_OCxPreloadConfig TIM_OC2PreloadConfig 27 #define BRE_CCRx CCR2 28 29 #define BRE_TIMx_IRQn TIM3_IRQn //中断 30 #define BRE_TIMx_IRQHandler TIM3_IRQHandler

2021-07-11

字符编码-天线测量手册

28.1 字符编码 由于计算机只能识别 0和 1,文字也只能以 0和 1的形式在计算机里存储,所以我们需 要对文字进行编码才能让计算机处理,编码的过程就是规定特定的 01 数字串来表示特定的 文字,最简单的字符编码例子是 ASCII 码。 28.1.1 ASCII 编码 学习 C 语言时,我们知道在程序设计中使用 ASCII 编码表约定了一些控制字符、英文 及数字。它们在存储器中,本质也是二进制数,只是我们约定这些二进制数可以表示某些 特殊意义,如以 ASCII编码解释数字“0x41”时,它表示英文字符“A”。ASCII码表分为 两部分,第一部分是控制字符或通讯专用字符,它们的数字编码从 0~31,见表 28-1,它们 并没有特定的图形显示,但会根据不同的应用程序,而对文本显示有不同的影响。ASCII 码的第二部分包括空格、阿拉伯数字、标点符号、大小写英文字母以及“DEL(删除控 制)”,这部分符号的数字编码从 32~127,除最后一个 DEL符号外,都能以图形的方式来 表示,它们属于传统文字书写系统的一部分。 表 28-1 ASCII 码中的控制字符或通讯专用字符 十进制 十六进制 缩写/字符 解释 0 0 NUL(null) 空字符 1 1 SOH(start of headline) 标题开始 2 2 STX (start of text) 正文开始 3 3 ETX (end of text) 正文结束 4 4 EOT (end of transmission) 传输结束 5 5 ENQ (enquiry) 请求 6 6 ACK (acknowledge) 收到通知 7 7 BEL (bell) 响铃 8 8 BS (backspace) 退格 9 9 HT (horizontal tab) 水平制表符 10 0A LF (NL line feed, new line) 换行键 11 0B VT (vertical tab) 垂直制表符 12 0C FF (NP form feed, new page) 换页键 13 0D CR (carriage return) 回车键 14 0E SO (shift out) 不用切换 15 0F SI (shift in) 启用切换

2021-07-11

控制进度-深入浅出mips

6.7 控制进度 目的: 监督项目活动状态,更新项目进展,管理进度基准变更,以实现计划 主要作用: 提供发现计划偏离的方法,从而可以及时采取纠正和预防措施,以降 低风险 成果: 《工作绩效信息》、《变更请求》、 《进度预测》 第 六 章 项 目 时 间 管 理 华夏智诚项目管理专家认证培训 401 6.7 控制进度 控制进度作为实施整体变更控制过程的一部分,关注如下内容: ➢ 判断项目进度的当前状态。 ➢ 对引起变更的因素施加影响。 ➢ 确定项目进度是否已经发生变更。 ➢ 在变更实际发生时对其进行管理。 第 六 章 项 目 时 间 管 理 华夏智诚项目管理专家认证培训 402

2021-07-11

制定项目章程-深入浅出mips

4.1 制定项目章程 目的: 编写一份正式批准项目并授权项目经理在项 目活动中使用组织资源的文件 主要作用: 明确定义项目开始和项目边界,确立项目的 正式地位,以及高级管理层直述他们对项目 的支持。 项目整合管理 4.1制定 项目章程 项目干系人管理 13.1识别 干系人 启动会议 华夏智诚项目管理专家认证培训 133 4.1 制定项目章程 第 四 章 项 目 整 合 管 理 1. 项目工作说明书 2. 商业论证 3. 协议 4. 事业环境因素 5. 组织过程资产 1. 专家判断 2. 引导技术 1. 项目章程 输入 工具与技术 输出 华夏智诚项目管理专家认证培训 134

2021-07-11

添加数据表-ft-2000四核cpu硬件设计指导手册-v1.5

3.5 添加数据表 3.5.1 如何将多个数据表插入分析中 可以使用多种不同方法将数据添加到分析:作为新列、新行或新数据表。如果新数据与 之前打开的数据表不相关,或新数据采用不同的格式(转置与逆转置),则作为单独的 数据表添加数据非常实用。 如果仍特定数据表创建了图表,而该数据表具有您希望应用到从其他数据表创建的图表 的筛选和标识,则您必须定义这两个表之间的关系。要使关系发挥作用,您需要两个数 据表中具有一个或多个键列(标识符列),并使用这些键列定义第一个数据表中的哪些 行将与第二个数据表中的行相对应。如果需要多个键列来设置唯一标识符,则必须为每 个标识符列添加一个关系。 注意:地图是可以在同一图表中使用不同数据表的唯一图表。如果需要在任何其他单个 图表中同时引入其他数据源中的数据,请改为使用―仍外部数据插入列‖或―仍外部数据插 入行‖工具。 ► 向分析添加新数据表的步骤: 1. 选择“文件”>“添加数据表...”。 效果:将显示―添加数据表‖对话框。 2. 单击“添加”并仍下拉列表中选择要添加的数据类型。 注释:您可以从文件、信息链接、数据库、剪贴板、数据函数或分析的当前数 据表中添加数据表。如果管理员已设置其他资源,您可能也有权访问这些资 源。 效果:根据您的选择,系统将向您显示一个对话框,从中可以指定要添加的文 件、信息链接等等。如果您需要有关特定数据源的详细信息,请参见打开文本 文件、打开 Excel 文件、打开 SAS 文件、打开信息链接或仍数据库打开数据。 3. 选择源数据并指定任何所需设置。 4. 如果需要,键入新的数据表名称。 5. 应用转换(可选)。 6. 如果您要添加更多数据表,请对每个数据表重复执行第 2 步到第 5 步。 7. 确定是否使新数据表彼此相关联或与之前添加的数据表相关联。如果必需建立 关系,请单击“管理关系...”并指定关系。 注释:有关详细信息,请参见下面的定义新关系的步骤。请记住,如果要使用 新数据表为之前添加的数据表创建详细图表,您需要定义关系。 8. 单击“确定”。 效果:新数据表将整合在分析中,并可供使用。 注意:如果要添加按需加载的新数据表,应改为使用“文件”>“添加按需数据表”选项。 有关详细信息,请参见按需加载数据。 ► 定义新关系的步骤: 1. 在―添加数据表‖对话框中,单击“管理关系...”。 效果:将显示―管理关系‖对话框。 2. 单击“新建...”。 效果:将显示―新建关系‖对话框。 3. 仍“左数据表”和“右数据表”下拉列表中,选择要连接的两个数据表。 4. 仍“左列”和“右列”下拉列表中,选择包含标识符的列。

2021-07-11

新建软件工程-如何解读统计图表:研究报告阅读指南

图 8.48 新建软件工程 然后单击“Next”按钮,进入下一步,在模板中选择“Hello LED”,如图 8.49 所示。 单击“SOPC Builder System”右边的“Browse”按钮打开目录对话框,从该工程目录下找到“niosii_c.ptf”, 因为 NiosII IDE 必须从这个文件获取该系统的相关信息。单击“Finish”按钮,即建立一个名为 Hello_LED_0 的模板。 打开项目中的文件:hello_led.c,根据需要进行修改。在本实例程序中,“PIO_0_BASE”名称中的“PIO_0” 应改为 SOPC Builder 定义时的名称,如图 8.49 中的“Hello_LED_0”。

2021-07-11

状态机优化方向-如何解读统计图表:研究报告阅读指南

图 4.13 添加状态转换条件的状态机 图 4.14 优化设置向导 在如图 4.14 所示的向导中,提示将进行 5 个步骤的设置,下面分别介绍。 ① 选择目标器件。本实例中选择 FPGA,如图 4.15 所示。 图 4.15 选择目标器件 ② 选择状态机的优化方向,用户可以选择速度优先、占用面积优先以及手动设置。在本实例中我们 选择速度优先,如图 4.16 所示。 ③ 对状态机的性能改善方式进行选择,如图 4.17 所示,本实例选择保证覆盖面积模式。 图 4.16 状态机优化方向

2021-07-11

无重复设计的网子水平选挣的影响-matlab从零到进阶

阁 6.9 无重复设计的网子水平选挣的影响 2 k 设计的单次重复有时称为无重复的析因设计,因为仅有一次重复,所以没有误差的内在 估计(即"纯误差 n). 无重复析因设计的一种分析法是.假定某些高阶的交互作用可被忽略, 并将它们的均方组合起来用于估计误差,这要借助于效应稀疏原理 (sp缸sity of effect princi- pIc),也就是,很多系统的一些主效应和低阶的交互作用处于支配地位,而很多高阶交互作用可被 忽略. 当分析无:!If.复析困设计的数据肘,有时出现真正的高阶交互作用此时,将高阶交互作用集 中起米作为误差均方使用是不恰当的 Daniel(1959) 提出的分析方法是克服这一困难的简便途 径. Daniel 建议,检查效应估计量的正态概率圈可被忽略的效应是正态分布的,其均值为零、 方差为 σ2 因此会大致落在图上的一条亘线附近;而显著效应有非零均值因此不会落在这→直 线上.因此,基于正态概率阁,初始模型必须指定包含那些显著不为零的效应.显然可以将忽略 的效应组合成误差的估计 例 6.2 24 设计的单次重复 4种化学产品是在压力容器中生产的在 4个小规模的试验性工厂中进行一项析凶实验,用以研究可 能会影响这种产品海透率的园子 4 个因子是温度 (A)、压强 (8). 币I!f浓度 (C) 事l搅拌速度 (V) 每一因 予取两个水平, 2~ 试验的单次重复所得的数据如表6.10 事l阁 6.10 所示-依随lIL~J-T-进行 16 次试验工程 师感兴趣的是使渗透率达到最大在当前的生产条件卡,产品的渗透率约为75 ga1/h(且l仑/小时) 当前生 产用的币酵浓度,即闵子C,为高水平:l二程师希望尽可能减少甲I!f浓度,但一直未能做到这一点,因为这总 是造成渗透率太低 jI(:12 e lL: 图 6.10 例 6.2 的试验工厂渗透率实验的数据 开始分析这些数据时,先将娘应估计量画在正态概率纸上. 24 设计的对照常数的加号和减号农如表 6.11 所示由这些对照,可以估计 15 个因子效应以及平方和,如表 6.12 所示 这些效应的止在概率因如图 6.11 所示,治直线上的所有效应可被忽略,而大的效应则远离此直线.此

2021-07-11

查看备库用户及数据是否存在-王晓波-从微服务到serverless+架构应用与实践v2

(2)查看备库用户及数据是否存在 sys@ora11gdg> conn secooler/secooler Connected. secooler@ora11g> set lines 80 secooler@ora11g> desc t; Name Null? Type ------------------ -------- ---------------------------- X VARCHAR2(8) secoolerdg@ora11g> select * from t; X -------- Secooler 主库上的变化已经即使的在备库上得到应用。 这便是 Oracle 11g 物理 Active Data Guard 实时查询(Real-time query)特性的体现。 3.小结 本文以实验的方式体验了 Oracle 11g 物理 Active Data Guard 实时查询(Real-time query) 特性。这项特性非常值得称赞,备库在这种特性的支持下,Oracle 可以最大限度满足备库作 为报表库或者查询分析类型数据库的需求。BTW:这项令人激动的特性是收费,也就是说大 家想将这个特性用在生产环境下的话需要单独付费。 7.1.1.21 Oracle 11g 物理 Data Guard 之 Snapshot Standby 此项功能可将备库置身于“可读写状态”用于不方便在生产环境主库中测试的内容,比 如模拟上线测试等任务。当备库读写状态下任务完成后,可以非常轻松的完成 Snapshot Standby 数据库角色切换回备库角色,恢复与主库数据同步。在 Snapshot Standby 数据库状 态下,备库是可以接受主库传过来的日志,但是不能够将变化应用在备库中。

2021-07-11

主键设计-王晓波-从微服务到serverless+架构应用与实践v2

2.1 数据模型设计原则  同一个字段名称只有唯一的含义,相同含义只有一个字段名称。  同一个字段名称只有唯一的数据类型和长度。  使用行业标准的英文或英文缩写作为字段名。  使用冗余字段,尽量减少表连接。  模块间表低耦合,便于分布式部署。  多字段表,根据业务显示分割成多个表。  将非常用的字段以及大字段,长字符字段分表。  多记录大表,根据字段值分表。  避免大量空记录,少记录表,将影响性能。  关键事实大表 HASH 分区,业务交易大表 RANGE 分区,或 RANGE-HASH 分区。  HASH 表分区字段索引建 Local Prefixed Index,其它字段索引建 Global Hash- Partitioned Index  RANGE 表分区字段索引建 Local Prefixed Index,其它字段索引建 Local Partition Index.RANGE 分区访问一定强制使用分区字段。  在插入数据时计算并用字段保存结果,而不是在查询时计算。  常用字段放在表字段的前面。  索引字段使用 not null,对于 NULL,定义系统缺省值。 2.2 数据模型设计步骤  设计业务对象和对象属性。  规范字段名、类型、长度。  范式规范。  逆范式冗余。  多字段、大字段、多记录表,分表、分区。  增加计算字段。  根据业务使用习惯,设计索引。 2.3 主键设计 主键设计建议采用序列生成单一主键,性能更好。原有业务主键建议建立唯一索引 UK。 主键命名建议采用‘PK_表名’,主键字段类型建议采用 VARCHAR2(20),这样在导入其 它系统或历史数据时,可以兼容数字和字母以及长度。

2021-07-11

I2C寄存器描述-sql server 2008 评估期已过怎么解决

24.6 I2C寄存器描述 505/754 关于在寄存器描述里面所用到的缩写,详见第1.1节。 可以用半字(16位)或字(32位)的方式操作这些外设寄存器。 24.6.1 控制寄存器 1(I2C_CR1) 地址偏移:0x00 复位值:0x0000 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 SWRST 保留 ALERT PEC POS ACK STOP START NO STRETCH ENGC ENPEC ENARP SMB TYPE 保留 SMBUS PE rw res rw rw rw rw rw rw rw rw rw rw rw res rw rw SWRST:软件复位 (Software reset) 位15 当被置位时,I2C处于复位状态。在复位该位前确信I2C的引脚被释放,总线是空的。 0:I2C模块不处于复位状态; 1: I2C模块处于复位状态。 注:该位可以用于BUSY位为’1’,在总线上又没有检测到停止条件时。 位14 保留位,硬件强制为0 参照2009年12月 RM0008 Reference Manual 英文第10版 本译文仅供参考,如有翻译错误,请以英文原稿为准。请读者随时注意在ST网站下载更新版本

2021-07-11

RTC寄存器描述-sql server 2008 评估期已过怎么解决

16.4 RTC寄存器描述 311/754 关于寄存器描述中的缩略词,请参考1.1节。 可以用半字(16位)或字(32位)的方式操作这些外设寄存器。 16.4.1 RTC控制寄存器高位(RTC_CRH) 地址偏移量:0x00 复位值:0x0000 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 OWIE ALRIE SECIE rw rw rw 保留 位15:3 保留,被硬件强制为0。 OWIE:允许溢出中断位 (Overflow interrupt enable) 位2 0:屏蔽(不允许)溢出中断 1:允许溢出中断 ALRIE:允许闹钟中断 (Alarm interrupt enable) 位1 0:屏蔽(不允许)闹钟中断 1:允许闹钟中断 SECIE:允许秒中断 (Second interrupt enable) 位0 0:屏蔽(不允许)秒中断 1:允许秒中断 这些位用来屏蔽中断请求。注意:系统复位后所有的中断被屏蔽,因此可通过写RTC寄存器来 确保在初始化后没有挂起的中断请求。当外设正在完成前一次写操作时(标志位RTOFF=0),不 能对RTC_CRH寄存器进行写操作。 RTC功能由这个控制寄存器控制。一些位的写操作必须经过一个特殊的配置过程来完成(见 16.3.4节)。 16.4.2 RTC控制寄存器低位(RTC_CRL) 偏移地址:0x04 复位值:0x0020 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 RTOFF CNF RSF OWF ALRF SECF r rw rc w0 rc w0 rc w0 rc w0 保留 位15:6 保留,被硬件强制为0。 RTOFF:RTC操作关闭 (RTC operation OFF) 位5 RTC模块利用这位来指示对其寄存器进行的 后一次操作的状态,指示操作是否完成。若此位 为’0’,则表示无法对任何的RTC寄存器进行写操作。此位为只读位。 0:上一次对RTC寄存器的写操作仍在进行; 1:上一次对RTC寄存器的写操作已经完成。 CNF:配置标志 (Configuration flag) 位4 此位必须由软件置’1’以进入配置模式,从而允许向RTC_CNT、RTC_ALR或RTC_PRL寄存器 写入数据。只有当此位在被置’1’并重新由软件清’0’后,才会执行写操作。 0:退出配置模式(开始更新RTC寄存器); 1:进入配置模式。 参照2009年12月 RM0008 Reference Manual 英文第10版 本译文仅供参考,如有翻译错误,请以英文原稿为准。请读者随时注意在ST网站下载更新版本

2021-07-11

DAC功能描述-sql server 2008 评估期已过怎么解决

12.3 DAC功能描述 12.3.1 使能DAC通道 将DAC_CR寄存器的ENx位置’1’即可打开对DAC通道x的供电。经过一段启动时间tWAKEUP, DAC通道x即被使能。 注意: ENx位只会使能DAC通道x的模拟部分,即便该位被置’0’,DAC通道x的数字部分仍然工作。 183/754 参照2009年12月 RM0008 Reference Manual 英文第10版 本译文仅供参考,如有翻译错误,请以英文原稿为准。请读者随时注意在ST网站下载更新版本

2021-07-11

独立模式单通道采集实验-sql server 数据库基本操作语句总结

31.4 独立模式单通道采集实验 STM32 的 ADC 功能繁多,我们设计三个实验尽量完整的展示 ADC 的功能。首先是比 较基础实用的单通道采集,实现开发板上电位器电压的采集,并通过串口打印至 PC端串口 调试助手。单通道采集适用 AD 转换完成中断,在中断服务函数中读取数据,不使用 DMA 传输,在多通道采集时才使用 DMA 传输。 31.4.1 硬件设计 开发板板载一个贴片滑动变阻器,电路设计见图 31-5。 图 31-5 开发板电位器部分原理图 贴片滑动变阻器的动触点通过连接至 STM32 芯片的 ADC 通道引脚。当我们旋转滑动 变阻器调节旋钮时,其动触点电压也会随之改变,电压变化范围为 0~3.3V,亦是开发板默 认的 ADC 电压采集范围。

2021-07-11

配置字模格式-sql server 数据库基本操作语句总结

(1) 配置字模格式 打开取模软件,点击“选项”菜单,会弹出一个对话框,见图 29-4。  选项“点阵格式”中的阴、阳码是指字模点阵中有笔迹像素位的状态是“1”还是 “0”,像我们前文介绍的那种就是阴码,反过来就是阳码。本工程中使用阴码。  选项“取模方式”是指字模图形的扫描方向,修改这部分的设置后,选项框的右 侧会有相应的说明及动画显示,这里我们依然按前文介绍的字模类型,把它配置 成“逐行式”  选项“每行显示的数据”里我们把点阵和索引都配置成 16,设置这个点阵的像素 大小为 16x16。 字模选项的格式保持不变,设置完我们点击确定即可,字模选项的这些配置会影响到 显示代码的编写方式,即类似前文代码清单 29-2 中的程序。

2021-07-11

优先级翻转-keb变频器 f5中文说明书-维修安装调试

14.6 优先级翻转 在使用二值信号量的时候会遇到很常见的一个问题——优先级翻转,优先级翻转在可剥夺 内核中是非常常见的,在实时系统中不允许出现这种现象,这样会破坏任务的预期顺序,可能 会导致严重的后果,图 14.6.1 就是一个优先级翻转的例子。,

2021-07-11

任务实现-keb变频器 f5中文说明书-维修安装调试

5.4 任务优先级 每 个 任 务 都 可 以 分 配 一 个 从 0~(configMAX_PRIORITIES-1) 的 优 先 级 , configMAX_PRIORITIES 在文件 FreeRTOSConfig.h 中有定义,前面我们讲解 FreeRTOS 系统配 置的时候已经讲过了。如果所使用的硬件平台支持类似计算前导零这样的指令(可以通过该指令 选 择 下 一 个 要 运 行 的 任 务 , Cortex-M 处 理 器 是 支 持 该 指 令 的 ) , 并 且 宏 configUSE_PORT_OPTIMISED_TASK_SELECTION 也 设 置 为 了 1 , 那 么 宏 configMAX_PRIORITIES 不能超过 32!也就是优先级不能超过 32 级。其他情况下宏 configMAX_PRIORITIES 可以为任意值,但是考虑到 RAM 的消耗,宏 configMAX_PRIORITIES 最好设置为一个满足应用的最小值。 优先级数字越低表示任务的优先级越低,0 的优先级最低,configMAX_PRIORITIES-1 的优 先级最高。空闲任务的优先级最低,为 0。 FreeRTOS 调度器确保处于就绪态或运行态的高优先级的任务获取处理器使用权,换句话说 就是处于就绪态的最高优先级的任务才会运行。当宏 configUSE_TIME_SLICING 定义为 1 的时 候多个任务可以共用一个优先级,数量不限。默认情况下宏 configUSE_TIME_SLICING 在文件 FreeRTOS.h 中已经定义为 1。此时处于就绪态的优先级相同的任务就会使用时间片轮转调度器 获取运行时间。 5.5 任务实现 在使用 FreeRTOS 的过程中,我们要使用函数 xTaskCreate()或 xTaskCreateStatic()来创建任 务,这两个函数的第一个参数 pxTaskCode,就是这个任务的任务函数。什么是任务函数?任务 函数就是完成本任务工作的函数。我这个任务要干嘛?要做什么?要完成什么样的功能都是在 这个任务函数中实现的。 比如我要做个任务,这个任务要点个流水灯,那么这个流水灯的程序 就是任务函数中实现的。FreeRTOS 官方给出的任务函数模板如下: void vATaskFunction(void *pvParameters) (1) {

2021-07-11

任务恢复过程分析-ce 6810配置手册

8.1 阅读本章所必备的知识 8.2 调度器开启过程分析 8.3 任务创建过程分析 8.4 任务删除过程分析 8.5 任务挂起过程分析 8.6 任务恢复过程分析

2021-07-11

先进的路由技术-delphi开发中的字符串资源动态替换

9.2 先进的路由技术 Express路由的主要功能是匹配URL模式和响应逻辑。然而路由还可以匹配 URL模式跟中间 件。这样你可以用中间件给特定路由提供可重用的功能。 本节要:  用特定路由(route-specific)的中间件校验用户提交的内容;  实现特定路由的校验;  实现分页。 我们来看几种利用特定路由中间件的办法吧。 9.2.1 校验用户内容提交 为了让校验有用武之地,我们 后给这个吼吼箱程序加上提交消息的功能。 添加这个功能 需要完成下面几项工作:  创建一个消息模型;  添加与消息相关的路由;  创建一个消息表单;  添加用提交上来的表单数据创建消息的逻辑。 我们从创建消息模型开始。 1. 创建消息模型 创建包含消息模型的lib/entry.js文件。将下面代码清单中的代码放到这个文件中。消息模型 跟前面创建的用户模型十分相似,只是它会把数据存在一个Redis列表中。 代码清单9-18 消息模型 创建Redis客户端实例 循环遍历传入对象中的键 从模块中输出Entry函数 合并值 将保存的消息转换成JSON字符串 将JSON字符串保存到Redis 列表中 迅 捷 PD F编 辑 器

2021-07-11

原稿换向/出纸部-css常用属性和值(思维导图总结)

(3) 原稿换向/出纸部 原稿换向/出纸部包括如图所示的部件。完成扫描的原稿由出纸辊排出至原稿出纸台。如果要进行双面换向扫 描,则原稿会被暂时传输至换向托盘,然后由换向辊再次传输至原稿传输部。 图 2-1-28 原稿换向/出纸部 5 4 2 31 6 7 1. 换向供纸导板 2. 出纸辊 3. 出纸皮带轮 4. 换向辊 5. 换向皮带轮 6. 原稿出纸台 7. 换向托盘2-1-23

2021-07-11

凝血风险和血流破坏的体外研究-opencv2参考手册

8.4 凝血风险和血流破坏的体外研究 对于MHVs植入患者血液的临床研究表明, 其溶血、 P-选择蛋白、 血小板因子 4, 以及其他与血液破坏和血小板凝结活化有关的生物化学标记物均有明显升高。 其 中的一些标记已经被用于体外量化检测血流特征对机械瓣的影响。 最初的体外研究使用无血浆血红蛋白作为血液破坏的标记物。 其中有些研究表明 猪血的溶血与渗湍的强度和瓣膜关闭的血流条件相关。 最近的研究表明血流的能量损 失中消耗在血小板上的部分要远远大于消耗在红细胞上的部分。 此外还发现血小板促 凝血活性的标记对血流环境的敏感程度要优于血浆游离血红蛋白。 血浆血小板因子4 的活性以及血小板表面膜联蛋白V的表达增加与瓣膜渗涌缝隙的宽度相关。 血浆乳 酸脱氢酶活性、 湍流切应力, 以及血小板对相应应力的暴露之间的关系可以用数学方 法进行建模, 以此比较几种瓣膜在血液正向流动和渗漏流动时激活血小板的潜力。 牛奶的凝结与血栓的形成非常相像, 原因是二者的生化机理是相似的, 并且二者 都容易在相似的流动条件下发生。 已经有一些研究试图发展一种体外试验方法, 利用 牛奶凝块来预测心瓣哪些部位容易发生血栓。虽然这些试验结果非常不错,但是用牛 奶凝块来预测血栓形成的方法至今还未成熟。 8.4.1 血栓沉积的影响 在机械主动脉心瓣附近, 湍流切应力极易超过150N/m 2 , 平均湍流切应力经常保 待在20 -60N/m 2 , 并且还容易发生空化现象, 血小板活化和聚集更是极易发生的事 情。 数据表明, 剪切诱导的血小板损伤的聚集与心脏瓣膜密切相关。 在一个单程内,

2021-07-11

血管壁微元受力示意图-opencv2参考手册

图6.4 血管壁微元受力示意图 c。 = 坛(R+71) -吐R=互 27rR R 环向应力(管壁上沿着周向方向的应力)可以表示为

2021-07-11

计算压力降-opencv2参考手册

图4. 18 假定流戳恒定条件下, 根据表4.3计算压力降 (和壁面切应力), 得到的速度剖面图 4.1.5 流动及其产生的应力诱导溶血和血小板的激活 前面讨论了血液的流变行为, 并且用试验方法来确定整个人体血液黏度。 全血呈 现出一个非线性卡森方程的本构关系。 此外,整个人体血液具有屈服应力,虽然它的 幅度太小, 不具有重要生理意义。 血液的表观黏度在低切应变率下是比较高的, 这是 因为红细胞在这些区域倾向于聚集, 导致黏度增加。 当切应变率增加时,表观黏度降 低到一渐近值, 因此, 血液表现出剪切变稀行为。 在比较大的切应变率下, 我们可将 全血本构关系近似为牛顿流体。 彻底了解血液的流变学特性对于许多应用是非常重要 的。 本构方程的应用是很有必要的,它可以分析人体循环系统中从大动脉到毛细血管 的血液流动。 此外, 可用于离体试验模拟血液在循环系统中各个区域的流动分析。 血 液在动脉中的稳态、 非稳态模型以及它们对于流体特性的应用将在第5章和第6章 讨论。 在正常循环系统中, 综合分析血流特性将有助于描绘各种疾病条件下流动特性的 变化。 例如, 动脉粥样硬化, 即大动脉中斑块的形成和发展, 往往发生在动脉系统的 特定部位(图3. 25), 如动脉弯曲、 分支或分叉处。 相对于直的动脉, 这些几何特性 会引起更复杂的血流动力学和流体应力。 学者们普遍认为血流动力学是引发和发展动 脉粥样硬化斑块的重要因素。 弯曲、 分叉或分支形状血管中的流动特性会影响动脉粥 样硬化的生成,大匮的理论和试验研究均支持这个理论。这些研究,往往都采用了牛 顿流体或非牛顿流体,加以恰当的本构方程而得到。 这些研究的结果,也将在第6章 中详细讨论。 对于使用牛顿流体或非牛顿流体的本构关系的血液模型中,血液被视为均匀的流 体,从而忽略其中的组分(红细胞、 白细胞和血小板)。 流动引起的应力,特别是切 应力, 可以激活甚至破坏血细胞和血小板。 关于高切应力对于血细胞的影响, 学术界

2021-07-11

仿真的出行时间和一般的路段费用-劳特巴赫工具简介

7.5 仿真的出行时间和一般的路段费用 10;1;10; 35; 20; 101; 296; 205; 30; 101; 160; 802; 20; 101; 221; 11;1;10; 40; 20; 101; 270; 622; 30; 101; 244; 604; 20; 101; 175; 12;1;10; 44; 20; 101; 189; 151; 30; 101; 185; 419; 20; 101; 227; 版本 2.1 格式的 *.fkt 文件 含 11 条出行链的出行链文件例子。仅在小区 20 指定目的地的世界坐标: 2.1 1; 1; 10; 1; 20; (113.0,157.0); 101; 117; 211; 30; []; 101; 169; 732; 20; (105.0,159.0); 101; 171; 2; 1; 10; 4; 20; (102.0,160.0); 101; 255; 334; 30; []; 101; 147; 815; 20; (128.0,153.0); 101; 124; 3; 1; 10; 8; 20; (126.0,163.0); 101; 202; 395; 30; []; 101; 178; 832; 20; (117.0,182.0); 101; 175; 4; 1; 10; 12; 20; (128.0,153.0); 101; 216; 703; 30; []; 101; 162; 533; 20; (103.0,155.0); 101; 208; 5; 1; 10; 16; 20; (114.0,174.0); 101; 164; 601; 30; []; 101; 251; 1134; 20; (113.0,157.0); 101; 159; 6; 1; 10; 20; 20; (105.0,159.0); 101; 295; 529; 30; []; 101; 133; 846; 20; (120.0,172.0); 101; 114; 7; 1; 10; 25; 20; (117.0,182.0); 101; 248; 262; 30; []; 101; 256; 987; 20; (102.0,160.0); 101; 117; 8; 1; 10; 29; 20; (119.0,157.0); 101; 169; 322; 30; []; 101; 164; 463; 20; (121.0,160.0); 101; 141; 9; 1; 10; 31; 20; (121.0,160.0); 101; 138; 543; 30; []; 101; 212; 405; 20; (119.0,157.0); 101; 252; 10; 1; 10; 35; 20; (120.0,172.0); 101; 296; 205; 30; []; 101; 160; 802; 20; (126.0,163.0); 101; 221; 11; 1; 10; 40; 20; (103.0,155.0); 101; 270; 622; 30; []; 101; 244; 604; 20; (114.0,174.0); 101; 175; 7.5 仿真的出行时间和一般的路段费用 动态分配时,通过仿真交通流确定路网内的出行时间。出行时间的测量针对每条通路和每段评估 区间进行。与出行时间相反,路径的空间长度和货币成本与交通状态无关。因此不必通过仿真确 定路径的空间长度和货币成本,而是直接从路网模型中读取。 7.5.1 测定出行时间的评估区间持续时间 动态分配时,通过仿真交通流确定路网内的出行时间。出行时间的测量针对每条通路和每段评估 区间进行。 动态分配与静态分配方式相比考虑到交通需求和基础设施随着时间的推移并非恒定不变。因此, 路网内的交通状态以及出行时间可在观察期间发生改变。因此整个仿真时长被划分为各个小的评 估区间,在其中观察出行时间。测量的评估区间持续时间取决于交通需求的能动性。因此请选择 小于需求改动所在时间间隔的评估区间,约为时长的一半。 © PTV GROUP 481

2021-07-11

定义和调出时间间隔-劳特巴赫工具简介

5.10 定义和调出时间间隔 元素 说明 编号 平面的唯一编号 名称 平面名称 高度 平面的 z 值: 面域厚度 障碍物和路段中的厚度 平面显示在列表平面和平面栏中。 提示:您可以在平面栏中隐藏、显示平面并勾选或禁用可选择性(参见第 45 页的 “使用 界面栏” )。 5.10 定义和调出时间间隔 您可以针对以下路网对象类型定义时间间隔(参见第 211 页的 “定义时间间隔” ): 车辆路径( 停车) 车辆路径( 局部) 车辆路径( 静态) 车辆输入 面域行为类型 行人路径( 局部) 行人路径( 静态) 行人输入 收费车道设施 局部公交路径 如果您已经针对某个路网对象类型定义了时间间隔,则可直接从该路网对象类型的路网对象属性 列表中将其调出并进行编辑(参见第 212 页的 “从属性列表中调出时间间隔” )。 5.10.1 定义时间间隔 1.  在菜单基本数据 > 时间间隔中选择。 时间间隔列表打开。在列表区域关系 <路网对象类型>中显示路网对象类型,您可以在列表中 针对该路网对象类型定义时间间隔。 © PTV GROUP 211

2021-07-11

储存环境条件-powerdesigner15.1教程

2.1 注意事项 下列请使用者特别注意:  伺服驱动器与伺服电机连线不能拉紧。  固定伺服驱动器时,必须在每个固定处确实锁紧。  电机轴中心必须与设备轴中心同心度良好。  如果伺服驱动器与伺服电机连线超过20厘米(65.62英尺),请在UVW连接线加粗 且编码器连线必须加粗。  伺服电机固定四根螺丝必须锁紧。 2.2 储存环境条件 本产品在安装之前必须置于其包装箱内,若该驱动器暂不使用,为了使该产品能够符合本公 司的保修范围内及日后的维护,储存时务必注意下列事项:  必须置于无尘垢、干燥的位置。  储存位置的环境温度必须在-20°C ~ +65°C(-4°F ~ 149°F)范围内。  储存位置的相对湿度必须在0%到90%范围内,且无结露。  避免储存于含有腐蚀性气、液体的环境中。  最好适当包装存放在架子或台面。

2021-07-11

产品型号对照-powerdesigner15.1教程

第一章  产品检查与型号说明 1-2 Revision Nov, 2014 1.2 产品型号对照 1.2.1 铭牌说明 ASDA-B2 系列伺服驱动器  铭牌说明  序号说明 ECMA 系列伺服电机  铭牌说明  序号说明

2021-07-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除