c语言程序9x9乘法表,《9x9乘法表.doc》-支持高清全文免费浏览-max文档

9x9乘法表,九九乘法表学习,九九乘法表,99乘法表,九九乘法表打印,乘法表,九九乘法表游戏,乘法表打印,九九乘法表儿歌,九九乘法表c语言

九九乘法表系统的设计

试设计一个供儿童学习九九乘法表之用的数字系统,该系统既可以引导学习者跟随学习机连续背诵;也可以随时查找任何两个1位十进制数的相乘结果。

系统功能和技术指标

九九乘法表系统能够自动或手动进行两个1位十进制数的乘法,并自动显示被乘数、乘数和乘积,该系统示意图如图6-9所示。

图中AA和BB分别为被乘数和乘数的外部输入端,它们用1位BCD码表示。系统用十进制七段数字显示器显示被乘数A、乘数B和乘积M的值,其中M用2位十进制显示器显示。

系统的功能和指标如下:

(1) 自动进行乘法运算并显示。用户将控制开关ARH置逻辑1,则系统内部自动产生被乘数A和乘数B,并按照常规的九九乘法表方式,依照一定速率自动进行A′=0~9和B′=0~9的乘法运算。由于被乘数和乘数的最大值为9,故配置1位十进制显示;而乘积最大值为81,则配置2位十进制数字显示。

(2) 手动进行乘积运算并显示。当控制开关ARH为逻辑0时,则乘法表系统仅对外部输入被乘数AA和乘数BB的特定数据进行乘法运算并输出。在手动工作状态时,分别采用两组4位开关产生被乘数和乘数的BCD码输入。

(3) 乘法运算是以二进制数的乘法来进行的,而其结果要用变换器转换为2位BCD码输出,并应配有相应的显示译码器。

算法设计

乘法器M=A*B具有自动运算和手动运算两种方式,在自动方式时,A=A′,B=B′;在手动方式时,A=AA,B=BB,这由控制开关ARH的状态来决定。

现设定信号EE为九九乘法表完成一次自动工作,从0*0=0直至9*9=81全过程的结束信号;TT是某定时器(计数器)的结束信号,该定时器确定手动运算的显示时间。则本系统的算法流程图如图6-10所示。

这张图是系统算法流程图,在增加了状态标注和明确了输出信号后,也可看做系统控制器的ASM图,有关状态标志和输出信号等已在图中给出。

假设系统的时钟频率为1Khz, 手动控制的时间周期为5s, 自动计数的时间周期为3s.

6.3.3 数据处理单元的实现

九九乘法表系统的处理数据单元结构框图如图6-11所示。

(1) 高速乘法器电路。6.1节讨论的高速并行乘法器设计方案直接可以在此得到应用,但符号位不考虑。

(2) 被乘数、乘数自动发生器。系统处于做的工作状态时,被乘数和乘数应自动、有序地产生,为此采用两只模10加计数器分别实现。被乘数计数器由0~9变化和乘数的从0~9变化按次序相乘。

(3) 被乘数、乘数选择电路。由于在自动和手动工作状态时,乘法器的输入分别为数据处理单元内部自动产生或系统外部输入被乘数和乘数,为此配置两个4位2选1数据选择器来选择恰当的输入,其地址变量由控制器根据ARH的状态来确定。

(4) 码制变换电路。快速乘法器输出乘积M=A*B为7位二进制数、即M=m6m5m4m3m2m1m0,必须转换为两位8421BCD码显示,也就是说,从0*0=0直至9*9=91,均应以十进制显示,以使用户直接观察到十进制运算结果(被乘数、乘数也用十进制数字显示),码制变换电路就是为实现该功能而设置的。

(5) 显示译码电路。把BCD码表示的A、B和M变换为1位或2位十进制数字显示器(七段显示器)的控制信号,这是显示译码器应实现的功能。

6.34设计输入

建立九九乘法表系统的输入文件可以有多种方式:图形描述方式、VHDL火Verilog HDL语言描述方式或者图形和文本想结合的描述方式。但是,无论采用何种描述方式,对于较复杂的系统图,总采用层次化设计描述的思路,九九乘法表系统也不例外。以为在一个设计文件中完成全部的逻辑描述是非常困难的,也不利于激射调试,因而单层次的描述不是一种优良的设计风格,

乘法器模块的设计:

也可以用VHDL语言编写:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity cheng is

port(a,b:in std_logic_vector(3 downto 0);

c:out std_logic_vector(7 downto 0));

end cheng;

architecture behave of cheng is

begin

process(a,b)

begin

c<=a*b;

end process;

end behave;

数据选择器模块A的设计

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

us

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
【项目资源】:包含前端、后端、移动开发、操作系统、人工智能、物联网、信息化管理、数据库、硬件开发、大数据、课程资源、音视频、网站开发等各种技术项目的源码。包括STM32、ESP8266、PHP、QT、Linux、iOS、C++、Java、MATLAB、python、web、C#、EDA、proteus、RTOS等项目的源码。 【项目质量】:所有源码都经过严格测试,可以直接运行。功能在确认正常工作后才上传。 【适用人群】:适用于希望学习不同技术领域的小白或进阶学习者。可作为毕设项目、课程设计、大作业、工程实训或初期项目立项。 【附加价值】:项目具有较高的学习借鉴价值,也可直接拿来修改复刻。对于有一定基础或热衷于研究的人来说,可以在这些基础代码上进行修改和扩展,实现其他功能。 【沟通交流】:有任何使用上的问题,欢迎随时与博主沟通,博主会及时解答。鼓励下载和使用,并欢迎大家互相学习,共同进步。【项目资源】:包含前端、后端、移动开发、操作系统、人工智能、物联网、信息化管理、数据库、硬件开发、大数据、课程资源、音视频、网站开发等各种技术项目的源码。包括STM32、ESP8266、PHP、QT、Linux、iOS、C++、Java、MATLAB、python、web、C#、EDA、proteus、RTOS等项目的源码。 【项目质量】:所有源码都经过严格测试,可以直接运行。功能在确认正常工作后才上传。 【适用人群】:适用于希望学习不同技术领域的小白或进阶学习者。可作为毕设项目、课程设计、大作业、工程实训或初期项目立项。 【附加价值】:项目具有较高的学习借鉴价值,也可直接拿来修改复刻。对于有一定基础或热衷于研究的人来说,可以在这些基础代码上进行修改和扩展,实现其他功能。 【沟通交流】:有任何使用上的问题,欢迎随时与博主沟通,博主会及时解答。鼓励下载和使用,并欢迎大家互相学习,共同进步。【项目资源】:包含前端、后端、移动开发、操作系统、人工智能、物联网、信息化管理、数据库、硬件开发、大数据、课程资源、音视频、网站开发等各种技术项目的源码。包括STM32、ESP8266、PHP、QT、Linux、iOS、C++、Java、MATLAB、python、web、C#、EDA、proteus、RTOS等项目的源码。 【项目质量】:所有源码都经过严格测试,可以直接运行。功能在确认正常工作后才上传。 【适用人群】:适用于希望学习不同技术领域的小白或进阶学习者。可作为毕设项目、课程设计、大作业、工程实训或初期项目立项。 【附加价值】:项目具有较高的学习借鉴价值,也可直接拿来修改复刻。对于有一定基础或热衷于研究的人来说,可以在这些基础代码上进行修改和扩展,实现其他功能。 【沟通交流】:有任何使用上的问题,欢迎随时与博主沟通,博主会及时解答。鼓励下载和使用,并欢迎大家互相学习,共同进步。【项目资源】:包含前端、后端、移动开发、操作系统、人工智能、物联网、信息化管理、数据库、硬件开发、大数据、课程资源、音视频、网站开发等各种技术项目的源码。包括STM32、ESP8266、PHP、QT、Linux、iOS、C++、Java、MATLAB、python、web、C#、EDA、proteus、RTOS等项目的源码。 【项目质量】:所有源码都经过严格测试,可以直接运行。功能在确认正常工作后才上传。 【适用人群】:适用于希望学习不同技术领域的小白或进阶学习者。可作为毕设项目、课程设计、大作业、工程实训或初期项目立项。 【附加价值】:项目具有较高的学习借鉴价值,也可直接拿来修改复刻。对于有一定基础或热衷于研究的人来说,可以在这些基础代码上进行修改和扩展,实现其他功能。 【沟通交流】:有任何使用上的问题,欢迎随时与博主沟通,博主会及时解答。鼓励下载和使用,并欢迎大家互相学习,共同进步。【项目资源】:包含前端、后端、移动开发、操作系统、人工智能、物联网、信息化管理、数据库、硬件开发、大数据、课程资源、音视频、网站开发等各种技术项目的源码。包括STM32、ESP8266、PHP、QT、Linux、iOS、C++、Java、MATLAB、python、web、C#、EDA、proteus、RTOS等项目的源码。 【项目质量】:所有源码都经过严格测试,可以直接运行。功能在确认正常工作后才上传。 【适用人群】:适用于希望学习不同技术领域的小白或进阶学习者。可作为毕设项目、课程设计、大作业、工程实训或初期项目立项。 【附加价值】:项目具有较高的学习借鉴价值,也可直接拿来修改复刻。对于有一定基础或热衷于研究的人来说,可以在这些基础代码上进行修改和扩展,实现其他功能。 【沟通交流】:有任何使用上的问题,欢迎随时与博主沟通,博主会及时解答。鼓励下载和使用,并欢迎大家互相学习,共同进步。【项目资源
大学生在线租房平台管理系统按照操作主体分为管理员和用户。管理员的功能包括报修管理、报修评价管理、字典管理、房东管理、房屋管理、房屋收藏管理、房屋留言管理、房屋租赁管理、租房论坛管理、公告信息管理、留言板管理、用户管理、管理员管理。用户的功能等。该系统采用了Mysql数据库,Java语言,Spring Boot框架等技术进行编程实现。 大学生在线租房平台管理系统可以提高大学生在线租房平台信息管理问题的解决效率,优化大学生在线租房平台信息处理流程,保证大学生在线租房平台信息数据的安全,它是一个非常可靠,非常安全的应用程序。 管理员权限操作的功能包括管理公告,管理大学生在线租房平台信息,包括房屋管理,培训管理,报修管理,薪资管理等,可以管理公告。 房屋管理界面,管理员在房屋管理界面中可以对界面中显示,可以对房屋信息的房屋状态进行查看,可以添加新的房屋信息等。报修管理界面,管理员在报修管理界面中查看报修种类信息,报修描述信息,新增报修信息等。公告管理界面,管理员在公告管理界面中新增公告,可以删除公告。公告类型管理界面,管理员在公告类型管理界面查看公告的工作状态,可以对公告的数据进行导出,可以添加新公告的信息,可以编辑公告信息,删除公告信息。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值