java实现instr_Java实现ROT13算法

package com.what21.rot13;

import java.util.Collections;

import java.util.HashMap;

import java.util.Map;

public final class Rot13 {

private static final Map map;

static {

final char[] lookup1 = "ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz"

.toCharArray();

final char[] lookup2 = "NOPQRSTUVWXYZABCDEFGHIJKLMnopqrstuvwxyzabcdefghijklm"

.toCharArray();

Map m = new HashMap();

for (int i = 0; i < lookup1.length; i++) {

m.put(lookup1[i], lookup2[i]);

}

map = Collections.unmodifiableMap(m);

}

private Rot13() {

}

/**

* ROT-13 算法.

*

* @param inStr

* @return

*/

public static String cipher(final String inStr) {

char[] arr = inStr.toCharArray();

StringBuilder sb = new StringBuilder(arr.length);

for (char c : arr) {

Character out = map.get(c);

if (out == null) {

sb.append(c);

} else {

sb.append(out);

}

}

return sb.toString();

}

}

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
class cpu_model; bit [31:0] r0, r1, r2, r3; bit [31:0] sram [256]; enum { ADD, LOAD, STORE } instruct_type; typedef struct packed { instruct_type type; bit [31:0] data; bit [7:0] addr; bit [1:0] reg1, reg2, dest_reg; } instruct; bit clk, reset; bit instr_valid, [31:0] instr_data; interface clk_rst_if; modport clk_rst ( input wire clk, reset, input wire instr_valid, input wire [31:0] instr_data ); endinterface interface bus_if; modport cpu_bus ( output reg [31:0] r0, r1, r2, r3, output reg [31:0] sram [256], input wire [31:0] instr_data, input wire reg1, reg2, dest_reg, input wire [7:0] addr, input wire instr_valid ); endinterface task drive_cpu (); input clk, reset, instr_valid; input [31:0] instr_data; clk_rst_if clk_rst(); bus_if cpu_bus(); begin r0 = r1 = r2 = r3 = 0; instr_valid = 0; instr_data = 0; forever begin @(posedge clk); if (reset) begin r0 = r1 = r2 = r3 = 0; end else if (instr_valid) begin instruct inst; inst = get_instr(instr_data); case (inst.type) ADD: r[inst.dest_reg] = r[inst.reg1] + r[inst.reg2]; LOAD: r[inst.dest_reg] = sram[inst.addr]; STORE: sram[inst.addr] = r[inst.reg1]; endcase end end end endtask task monitor (); input clk; clk_rst_if clk_rst(); bus_if cpu_bus(); begin @(posedge clk); $display("r0 = %d, r1 = %d, r2 = %d, r3 = %d", r0, r1, r2, r3); @(posedge clk); for (int i = 0; i < 256; i++) begin $display("sram[%0d] = %d", i, sram[i]); end end endtask function automatic instruct get_instr (input [31:0] instr_data); instruct inst; if (instr_data[31:30] == 2'b00) begin inst.type = ADD; inst.reg1 = instr_data[29:28]; inst.reg2 = instr_data[27:26]; inst.dest_reg = instr_data[25:24]; end else if (instr_data[31:30] == 2'b01) begin if (instr_data[26]) begin inst.type = LOAD; inst.data = instr_data[23:16]; inst.dest_reg = instr_data[11:8]; end else begin inst.type = LOAD; inst.addr = instr_data[7:0]; inst.dest_reg = instr_data[11:8]; end end else if (instr_data[31:30] == 2'b10) begin if (instr_data[26]) begin inst.type = STORE; inst.data = instr_data[23:16]; inst.reg1 = instr_data[11:8]; end else begin inst.type = STORE; inst.addr = instr_data[7:0]; inst.reg1 = instr_data[11:8]; end end return inst; endfunction endclass
最新发布
05-29

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值