[原创][FPGA]Quartus实用小技巧(长期更新)

0. 简介

  在使用Quartus软件时,经常会时不时的发现一些小技巧,本文的目的是总结所查阅或者发现到的小技巧,本文长期更新。

1. Quartus中的模板功能

  最近在Quartus II的菜单里找到了一个好去处:language template。

  在Edit -> Insert Template下可以看到Verilog HDL、SystemVerilog、VHDL、AHDL、Quartus II TCL、TCL的语言模板。

  在Verilog HDL下面,可以找到基本的逻辑操作符、基本语言结构,甚至是完整的设计。

  其中的基本语言结构和操作符可用来在编程时参考,而完整的设计作为自学语言的实例是再好不过了。这些完整的设计不仅仅是语法上结构完整,其书写格式、参数化、注释都很标准,从中可以学到许多优秀的编码风格和特殊功能的描述方法。

  比如,双端口RAM的描述中就有关于读写同时操作时,读取返回的值是新值还是旧值的区别,采用阻塞和非阻塞赋值就可以在综合后的代码中得到这样的差别。

2. 待更新

 

转载于:https://www.cnblogs.com/airbird/p/FPGA_QuartusII_tips.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值