格雷码

binary to gray

 1 odule bin2gry(Gry,Bin);
 2 parameter length = 8;     //以八位示例
 3 output [length-1:0] Gry;
 4 input [length-1:0] Bin;
 5 
 6 reg [length-1:0] Gry;
 7 integer i;
 8 
 9 always @ (Bin)
10 begin
11     for(i=0;i<length-1;i=i+1)
12         Gry[i]=Bin[i]^Bin[i+1];
13     Gry[i]=Bin[i];
14 end
15 
16 /*另一种简单的实现方法如下:*/
17 // assign Gray = (Bin >> 1) ^ Bin;
18 
19 endmodule

grey to binary

 1 module gry2bin(Gry,Bin);
 2 parameter length = 8; 
 3 input [length-1:0] Gry; 
 4 output [length-1:0] Bin; 
 5 
 6 reg [length-1:0] Bin; 
 7 integer i;
 8 always @ (Gry)
 9 begin    
10     Bin[length-1]=Gry[length-1];    
11     for(i=length-2;i>=0;i=i-1)        
12         Bin[i]=Bin[i+1]^Gry[i];
13 end
14 endmodule

 

 

转载于:https://www.cnblogs.com/protogenoi/p/8989699.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值