【随笔】Verilog HDL

 
  
1 // captrue the edge of busy and record
2   always @(posedge clk or posedge busy or negedge reset_n) begin
3 if (reset_n == 1 ' b0)
4 begin
5 busy_posedge_reg <= 1 ' b0;
6 end
7 else if (stage_reg == EDLE)
8 begin
9 busy_posedge_reg <= 1 ' b0;
10 end
11 else
12 begin
13 busy_posedge_reg <= 1 ' b1;
14 end
15 end

 

产生错误提示:

 

 

 
  
Error ( 10200 ): Verilog HDL Conditional Statement error at s1_slave.v( 176 ): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct

 

 

 

 

转载于:https://www.cnblogs.com/xiyi/archive/2010/11/24/1886983.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值