FPGA 关于错误(10200)

本人在调试FPGA时有时会出现以下错误:

Error (10200): Verilog HDL Conditional Statement error at delay.v(23): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct

问题原因在于笔者用了以下语句
always@(posedge clk or negedge rst_n)
却粗心的忘了对rst_n进行判断了写成如下语句了

always@(posedge clk or negedge rst_n)
begin 
 if(txd_flag)
    if(state < 4'd9)
           state<=state+1;
    else
          state<=0;
 else 
            state<=state;
end

而正确的应该是

always@(posedge clk or negedge rst_n)
begin 
 if(!rst_n)
        begin
            state<=0;
        end
 else if(txd_flag)
    if(state < 4'd9)
           state<=state+1;
    else
          state<=0;
 else 
            state<=state;
end
  • 16
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值