【实例简介】
自己写的verilog贪吃蛇程序,使用vivado2015.2软件编写综合的,硬件平台是xilinx的basys3平台,当检测到碰撞时,led灯会亮起
【实例截图】
【核心代码】
snake
└── snake
├── snake.cache
│ └── wt
│ ├── java_command_handlers.wdf
│ ├── synthesis_details.wdf
│ ├── synthesis.wdf
│ └── webtalk_pa.xml
├── snake.hw
│ ├── hw_1
│ │ └── hw.xml
│ └── snake.lpr
├── snake.runs
│ ├── clk_wiz_0_synth_1
│ │ ├── clk_wiz_0.dcp
│ │ ├── clk_wiz_0.tcl
│ │ ├── clk_wiz_0_utilization_synth.pb
│ │ ├── clk_wiz_0_utilization_synth.rpt
│ │ ├── clk_wiz_0.vds
│ │ ├── dont_touch.xdc
│ │ ├── gen_run.xml
│ │ ├── htr.txt
│ │ ├── ISEWrap.js
│ │ ├── ISEWrap.sh
│ │ ├── project.wdf
│ │ ├── rundef.js
│ │ ├── runme.bat
│ │ ├── runme.log
│ │ ├── runme.sh
│ │ ├── vivado.jou
│ │ └── vivado.pb
│ ├── impl_1
│ │ ├── gen_run.xml
│ │ ├── htr.txt
│ │ ├── init_design.pb
│ │ ├── ISEWrap.js
│ │ ├── ISEWrap.sh
│ │ ├── opt_design.pb
│ │ ├── place_design.pb
│ │ ├── project.wdf
│ │ ├── route_design.pb
│ │ ├── rundef.js
│ │ ├── runme.bat
│ │ ├── runme.log
│ │ ├── runme.sh
│ │ ├── usage_statistics_webtalk.html
│ │ ├── usage_statistics_webtalk.xml
│ │ ├── vga_ctrl_4524.backup.vdi
│ │ ├── vga_ctrl_5888.backup.vdi
│ │ ├── vga_ctrl.bit
│ │ ├── vga_ctrl_clock_utilization_routed.rpt
│ │ ├── vga_ctrl_control_sets_placed.rpt
│ │ ├── vga_ctrl_drc_opted.rpt
│ │ ├── vga_ctrl_drc_routed.pb
│ │ ├── vga_ctrl_drc_routed.rpt
│ │ ├── vga_ctrl_io_placed.rpt
│ │ ├── vga_ctrl_opt.dcp
│ │ ├── vga_ctrl_placed.dcp
│ │ ├── vga_ctrl_power_routed.rpt
│ │ ├── vga_ctrl_power_summary_routed.pb
│ │ ├── vga_ctrl_routed.dcp
│ │ ├── vga_ctrl_route_status.pb
│ │ ├── vga_ctrl_route_status.rpt
│ │ ├── vga_ctrl.tcl
│ │ ├── vga_ctrl_timing_summary_routed.rpt
│ │ ├── vga_ctrl_timing_summary_routed.rpx
│ │ ├── vga_ctrl_utilization_placed.pb
│ │ ├── vga_ctrl_utilization_placed.rpt
│ │ ├── vga_ctrl.vdi
│ │ ├── vivado_4524.backup.jou
│ │ ├── vivado_5888.backup.jou
│ │ ├── vivado_9108.backup.jou
│ │ ├── vivado.jou
│ │ ├── vivado.pb
│ │ └── write_bitstream.pb
│ └── synth_1
│ ├── gen_run.xml
│ ├── htr.txt
│ ├── ISEWrap.js
│ ├── ISEWrap.sh
│ ├── rundef.js
│ ├── runme.bat
│ ├── runme.log
│ ├── runme.sh
│ ├── vga_ctrl.dcp
│ ├── vga_ctrl.tcl
│ ├── vga_ctrl_utilization_synth.pb
│ ├── vga_ctrl_utilization_synth.rpt
│ ├── vga_ctrl.vds
│ ├── vivado.jou
│ └── vivado.pb
├── snake.srcs
│ ├── constrs_1
│ │ └── new
│ │ └── snake.xdc
│ └── sources_1
│ ├── ip
│ │ └── clk_wiz_0
│ │ ├── clk_wiz_0_board.xdc
│ │ ├── clk_wiz_0_clk_wiz.v
│ │ ├── clk_wiz_0.dcp
│ │ ├── clk_wiz_0_funcsim.v
│ │ ├── clk_wiz_0_funcsim.vhdl
│ │ ├── clk_wiz_0_ooc.xdc
│ │ ├── clk_wiz_0_stub.v
│ │ ├── clk_wiz_0_stub.vhdl
│ │ ├── clk_wiz_0.v
│ │ ├── clk_wiz_0.veo
│ │ ├── clk_wiz_0.xci
│ │ ├── clk_wiz_0.xdc
│ │ ├── clk_wiz_0.xml
│ │ └── doc
│ │ └── clk_wiz_v5_1_changelog.txt
│ └── new
│ ├── smg.v
│ ├── vga_ctrl.v
│ └── vga_driver.v
└── snake.xpr
17 directories, 100 files