自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(34)
  • 收藏
  • 关注

转载 Winform用Post方式打开IE

1.主要实现Code 1 void OpenNewIe(string url, string postData)///url是要post的网址,postData是要传入的参数 2 { 3 if (ie != null)///避免重复打开ie对象没有释放,抛出异常 4 { 5 t...

2015-10-30 16:37:00 128

转载 版本控制

修改版本号,可以在工程中,或者plist文件中修改:-->有时候需要根据用户是否第一次使用这个版本的应用,来显示新特性如何知道第一次使用这个版本?比较上次的使用情况,例如新浪微博如下图:      NSString *versionKey = @"CFBundleVersion"; //CFBundleVersion版本号的key 不知道key值,可以...

2015-10-30 11:40:00 41

转载 JOSN的stringify()和parse()方法

JOSN的stringify()和parse()方法使用,stringify()把对象序列化,parse()把JSON字符串解析为js对象<script> var book = { title:"JavaScript Learn", author:["wang","jie"], ...

2015-10-29 20:16:00 60

转载 理解原型对象

(读书笔记--JavaScript 高级程序设计第3版 148页)1, 无论何时,只要创建了一个新的函数,就会根据一组新特定的规则为该函数创建一个prototype属性,这个函数指向函数的原型对象。在默认情况下,所有原型对象都会自动获取一个constructor(构造函数)属性,这个函数包含一个指向 prototype 属性所在的函数指针。 prototype --&...

2015-10-29 12:18:00 38

转载 初涉Runtime (一)

Objective-C 是一门动态语言,有很多东西都是运行时才确定的。比如这句代码首先声明testObject是一个NSString,然后创建了一个NSData对象,并且将这个对象的内存地址保存在test Object中。运行的时候textObject只想的就是一个NSData对象。NSString *testObject = [[NSData alloc]]init];...

2015-10-28 23:12:00 49

转载 [国嵌攻略][038][时钟初始化]

时钟脉冲信号按一定的电压幅度,一定的时间间隔连续发出的脉冲信号叫做时钟脉冲信号。用于给处理器和其他硬件提供时钟度量。时钟脉冲频率在单位时间内产生的时钟脉冲的个数叫做时间脉冲频率时钟源分类1.晶振:又称晶体振荡器,通过石英晶体切割、加电极、通电后会产生固定的机械震荡。优点是结构简单、噪声低,缺点是生产成本高。2.PLL:锁相环,通过外部晶振和锁相环电路来提高晶振的...

2015-10-27 09:00:00 95

转载 【转载】4412开发板、PC、ubuntu通过网线连接

今天看到使用TFTP方式,开发板直接从ubuntu下载程序,不需要一直通过烧写文件系统下载,我试了一下,虚拟机、开发板、pc三者老是互相ping不通。纠结了很久终于解决了。写下这个小笔记,供大家参考一下,希望有用处。我没有交换机,只有一个开发板、pc、网线一根。我的参考:http://jingyan.baidu.com/article/f25ef25414e8ea482d1b82...

2015-10-26 16:31:00 79

转载 android 55

智能家居:可以联网可以用指令操作可以返回状态。智能微波炉智能眼镜智能手表。Android作者AndyRubin,2007年正式由谷歌推广,开源的。安卓体系结构和开发一个闹钟的调用结构图:安卓和ios都是基于linux内核开发的。开发工具:Eclips+ADT,Intel模拟器加速器虚拟化cpu提高模拟器的速度,可以提高十多倍。System.ou...

2015-10-25 19:26:00 40

转载 Handbook之012:函数类别构型

定义函数构型,然后在再调用函数 代码如下: unit Unit1;interfaceuses Winapi.Windows, Winapi.Messages, System.SysUtils, System.Variants, System.Classes, Vcl.Graphics, Vcl.Controls, Vcl...

2015-10-25 00:49:00 70

转载 大道至简第四章读后感

  第四章名为“流于形式的沟通”,开头引用了韩愈的一句话,即“足下求速化之术,不于其人,乃以访愈,是所谓借听于聋,求道于盲。”,这句话是个比喻,意思是向毫无所知的人请教,是不能解决问题的。也教导我们要学会沟通,学会交流,自己明白不厉害,你能把别人讲明白才厉害。  第一节名为“客户不会用C,难道就会用UML吗?”,这一节主要讲的是如何与客户理解客户的需求,当程序员进行一个项目时,需要计算机语言去...

2015-10-24 19:14:00 44

转载 TFS 无法找到新加的Windows用户

Windows 用户加入后,无法在TFS中的“浏览”中找到(只有已经加入TFS的Windows用户显示出来) 其实这时候只要直接输入 用户名 然后点击“保存更改”就可以了。 如下图: 转载于:https://www.cnblogs.com/norsd/p/6359303.html...

2015-10-23 22:28:00 174

转载 我学习的第一个uiautomator从创建到运行结束

一、新建自动化脚本 1.新建java工程包 [file]----[new]----[Java Project] 新建工程 [右键点src]----[new]----[package] 新建包 [右键点建好的工程]----[new]----[folder]----[命名:...

2015-10-23 18:39:00 70

转载 NEFU_117素数个数的位数

题目传送门:点击打开链接Problem : 117Time Limit : 1000msMemory Limit : 65536Kdescription小明是一个聪明的孩子,对数论有着很浓烈的兴趣。他发现求1到正整数10n 之间有多少个素数是一个很难的问题,该问题...

2015-10-23 14:54:00 131

转载 Android Gradle实用技巧——APK文件名中加上SVN版本号,日期等

有时候,我们会希望能把APK文件名上带上打包日期,打包时svn的版本号,应用版本号等。当然这些也可以手动添加,但是手动的话也未免太不优雅了,而且可能会出错。利用Gradle,我们可以让打包出来的apk自动的带上一些列信息。默认读者已经对gradle有一定的了解,有buildtypes,productFlavors的概念。不了解的可以看看上一篇或者去网上搜索来补充一下。Gradle是基于...

2015-10-23 09:14:00 137

转载 用注解给映射视图的类创建联合主键

视图类:import javax.persistence.Entity;import javax.persistence.Id;import javax.persistence.IdClass;@Entity@IdClass(VW_App_key.class)public class VW_App_Lab_Aux_Vwbasic { private Intege...

2015-10-22 10:45:00 82

转载 关于集簇因子和柱状图的理解

一、准备实验环境1、建立表SQL>createtableemp2asselect*fromemp;表已创建。2、在表上建立索引SQL>createindexemp2_empno_indonemp2(empno);索引已创建。3、分析表SQL>analyzetableemp2estimate...

2015-10-21 21:21:00 113

转载 vs2012中编译时出现程序集所使用的版本高于所引用的版本

我在运行别人的项目时出现版本不兼容的问题:问题原因: 引用的版本不一致,即你本机引用了两个版本解决方法: 把引用的程序集从项目的引用中移除,找到你所使用版本的dll和引用的dll一致,如上面4.0.0.0,     把整个项目的引用下的System.Web.Mvc.dll改为默认C盘下自动引用的路径步骤:1)在项目的引用找到System.Web.MVC.dll...

2015-10-21 13:14:00 928

转载 RestClient使用

1、在火狐浏览器里下载restclient插件2、输入地址和请求参数3、单击send如图:4、感悟在使用的过程中因为我没有设置Header,所有总是报400 bad request。期间有好几次放弃自己研究,想去找那个会用的同事交给我。但是我坚持住了,总想再给自己一次机会。结果被我试出来了,真的很开心。转载于:https://www.cnblogs.com/honghong7...

2015-10-21 09:53:00 102

转载 大数据算法:对5亿数据进行排序

前言: 在大数据研究的路上,我们总要对一些很大的数据进行各种各样的操作。比如说对数据排序,比如说对数据统计,比如说对数据计算。而在大量的数据面前,我们总是束手无策,因为我们无法在限定时间的情况下,在效率上做到让人满意,也无法在限定空间的情况下,能够快速解决问题。可能我们在一些日常的开发过程中,没有遇到过这些问题。不过,现在是时候来考虑一下这样的问题了。因为,现在正值大数据的时代。...

2015-10-19 23:32:00 3425

转载 shell脚本

http://c.biancheng.net/cpp/view/2740.html转载于:https://www.cnblogs.com/lianghe01/p/4889875.html

2015-10-18 17:25:00 52

转载 Eclipse build launcher 3具体步骤

1. 下载launcher 3源代码 (需要FQ)git clone https://android.googlesource.com/platform/packages/apps/Launcher32. clone完成后,用Eclipse将项目import到workspace,使用import->Existing Android Code Into Workspa...

2015-10-18 15:45:00 40

转载 [转]MIPS 下非对齐访问的问题

1.问题  MIPS 下使用访存指令读取或写入数据单元时,目标地址必须是所访问之数据单元字节数的整数倍,这个叫做地址对齐。比如在 MIPS 平台上,lh 读取一个半字时,存储器的地址必须是 2 的整数倍; lw 读取一个字时,存储器的地址必须是 4的整数倍; sd 写入一个双字时,存储器的地址必须是 8 的整数倍。倘若访存时,目标地址不对齐,则会引起异常,典型的是系统提示“总线错误”后,直接杀死进...

2015-10-17 22:42:00 230

转载 2015.10.13课堂

课堂例子ClassAndObjectTest.java结果截图Hellow.java源程序以及结果截图输出结果没有什么特别InitializeBlockDemo.java源程序以及结果截图MyPackageClass.java源程序以及结果截图ObjectEquals.java源程序以及结果截图StrangeIntegerBehavio...

2015-10-17 21:07:00 51

转载 jQuery 显示加载更多

<!DOCTYPE html><html lang="en"><head> <meta charset="UTF-8"> <title>Document</title> <script type="text/javascript" src="js/jquery-1.9.1.js"&gt...

2015-10-14 16:03:00 73

转载 C#中IQueryable和IEnumberable的区别

IQueryable和IEnumberable的区别主要在查询方面有区别IQueryable查询时间是先把skip和Take翻译成sql语句,去数据库执行完成后把数据加载到内存中IEnumberable查询时间跳过skip和Take,把整个数据库数据加载到内存中后在去过滤转载于:https://www.cnblogs.com/tb1152/p/4876881.html...

2015-10-14 11:02:00 99

转载 net nic

vim /etc/sysconfig/grub#往文件中GRUB_CMDLINE_LINUX行中value中添加”net.ifnames=0 biosdevname=0”内容。#执行grub2-mkconfig -o /boot/grub2/grub.cfg#重启系统[root@compute ~]# cat /etc/sysconfig/network-scripts/i...

2015-10-14 10:22:00 94

转载 取中文汉字首字母

package com.paic.pad.info.tmr.customer.dto;import java.io.UnsupportedEncodingException;import java.net.URLEncoder;import java.util.HashMap;import java.util.Map;import java.util.Map.Entry;...

2015-10-12 10:52:00 178

转载 swift版的枚举变量

swift版的枚举变量swift的枚举类型跟普通的类是极为类似的,使用的时候,请不要以为他是一个常量,以下是测试用源码//// ViewController.swift// SwiftEnum//// Created by YouXianMing on 15/10/9.// Copyright © 2015年 ZiPeiYi. All rights...

2015-10-10 22:40:00 45

转载 Cordova Android 禁用长按选中功能

使用Cordova开发程序时,WebView的选择和菜单功能会影响程序的体验,甚至会泄漏程序的信息(可以参看url地址信息等),所有我们需要禁用长按选中功能。 网络上提供通过css样式禁用在Android中无效,同时会出现文本框无法输入的问题。 经测试,该方法可适用于Cordova 5.1.1public class MainActivity extends Cordo...

2015-10-10 15:51:00 234

转载 STM32应用笔记转载

stm32 外部中断嵌套[操作寄存器+库函数]stm32 NVIC中断管理实现[直接操作寄存器]stm32 SPI通信[操作寄存器+库函数]stm32 i2c通信 [操作寄存器+库函数]stm32 can总线通信[库函数]stm32 PVD 可编程电压监测器stm32 Fatfs 读写SD卡转载于:https://www.cnbl...

2015-10-09 15:17:00 56

转载 UIActionSheet的最后一项点击失效

在开发过程中,发现有时候UIActionSheet的最后一项点击失效,点最后一项的上半区域时有效,这是在特定情况下才会发生,这个场景就是试用了UITabBar的时候才有。解决办法:在showView时这样使用,[actionSheet showInView:[UIApplication sharedApplication].keyWindow];或者[sheet showInView:[...

2015-10-08 12:17:00 39

转载 在Linux环境下mysql的root密码忘记解决方法

方法一:1.首先确认服务器出于安全的状态,也就是没有人能够任意地连接MySQL数据库。 因为在重新设置MySQL的root密码的期间,MySQL数据库完全出于没有密码保护的 状态下,其他的用户也可以任意地登录和修改MySQL的信息。可以采用将MySQL对外的端口封闭,并且停止Apache以及所有的用户进程的方法实现服务器的准安全状态。最安全的状态是到服务器的Console上面操作,并且拔掉网线...

2015-10-08 09:07:00 39

转载 关于 HTTP 请求头的内容

HTTP(HyperTextTransferProtocol)即超文本传输协议,目前网页传输的的通用协议。HTTP协议采用了请求/响应模型,浏览器或其他客户端发出请求,服务器给与响应。就整个网络资源传输而言,包括message-header和message-body两部分。首先传递message-header,即httpheader消息。http header 消息通常被分为4个部分:gene...

2015-10-07 19:04:00 53

转载 深度优先算法解决有向有权图的最短路径问题

从城市1到城市到城市3有很多条路,每条路的路况各不相同,所耗费的时间都标记在了箭头上,现在需要找出从1到3的最短路径。有向图:意思是来回的路径值可以是不一样的有权图:意思是每套路径的值可以是不一样的package myalgorithm;public class ShortPath { /*全局最短路径*/ public int stepnum = 99...

2015-10-02 01:14:00 193

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除