verilog PLI 实例

在testbench中可以通过PLI调用c/c++函数

hello world示例:

hello.c

#include <stdio.h> 
 
void hello_call () {
  printf ("\nHello World\n");
}

hello.v

module hello_pli ();
        
initial begin
  $hello;
  #10  $finish;
end
        
endmodule

如果使用vcs,则要添加tab文件以确定函数的关联
hello.tab如下

$hello call=hello_call acc+=rw:*

编译运行命令为:

vcs -R -P hello.tab hello.v hello.c

可以再c程序中使用PLI标准函数
需包含头文件:

#include "acc_user.h"
#include "vcsuser.h"

常用函数如:
tf_getp(pnum); //返回verilog调用传递的第pnum个参数

tf_putp(pnum,pval) //设置第pnum个参数为pval

 

转载于:https://www.cnblogs.com/fbi888/archive/2013/06/14/3135688.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值