Verilog PLI教程

 

Verilog PLI教程:第一部分 介绍

2007-09-24 来源:数字集成电路设计者 作者:5life

Verilog PLI(可编程语言接口)是在Verilog代码中运行C或者C++的一种机制。用C/C++写函数编译代码并产生共享库(windows下是*.dll文件,Unix下是*.so文件)。VCS这样的仿真工具也允许静态链接。在verilog代码中调用这些函数…

Verilog PLI(可编程语言接口)是在Verilog代码中运行C或者C++的一种机制。 

系统调用是指在verilog代码中调用的函数。一个例子:内置系统任务$display,$stop,$random PLI允许用户创建自己的系统调用,实现Verilog语法不能实现的功能。如: 

功耗分析 
代码覆盖率工具 
能修改verilog仿真数据结构,得到更精确的延时信息 
用户定制的输出显示 
联合仿真 
设计调试工具 
仿真分析 
创建C模型接口,加快仿真 
Testbench
建模 
为了实现上述PLI的一些应用,C代码必须能access verilog仿真器的内部数据结构。于是,Verilog PLI提供了一些acc程序或者简单的access程序。第二种程序系列叫做tf程序,或者简单的任务和函数。 tf acc都是PLI1.0 的程序,是既大又老的程序。另一种程序在最新的verilog 2001版本引入,叫做vpi程序。这是一种小而清晰的PLI程序,称作PLI2.0 

通过Verilog 2001 LRM PLI1.0 IEEE文档,你可以查阅PLI提供的每个函数的详细资料。Verilog IEEE LRM 是为有硬件背景的人都可以读懂而写的。如果你拿不到以上的IEEE文档,你可以购买在书籍章节里列出的PLI书籍。 

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值