单片机18b20c语言程序,单片机中使用DS18B20温度传感器C语言程序.doc

253b171540df25e1b84436cbe50dfc72.gif单片机中使用DS18B20温度传感器C语言程序.doc

单片机中使用 DS18B20 温度传感器 C 语言程序(参考 1) / DS18B20 测温程序 硬件A T89S52 1单线 ds18b20 接 P2.2 2七段数码管接 P0 口 3使用外部电源给 ds18b20 供电,没有使用寄生电源 软件Kei uVision 3 * */ include “reg52.h“ include “intrins.h“ define uchar unsigned char define uint unsigned int sbit dsP22; sbit dulaP26; sbit welaP27; uchar flag ; uint temp; 参数 temp 一定要声明为 int 型 uchar code table0 x3f,0 x06,0 x5b,0 x4f,0 x66,0 x6d,0 x7d, 0 x07,0 x7f,0 x6f,0 x77,0 x7c,0 x39,0 x5e,0 x79,0 x71; 不带小数点数字编码 uchar code table10 xbf,0 x86,0 xdb,0 xcf,0 xe6,0 xed,0 xfd, 0 x87,0 xff,0 xef; 带小数点数字编码 /*延时函数*/ void TempDelay uchar us whileus; void delayuint count 延时子函数 uint i; whilecount i200; whilei0 i; count; /*串口初始化,波特率 9600,方式 1 */ void init_com TMOD0 x20; 设置定时器 1 为模式 2 TH10 xfd; 装初值设定波特率 TL10 xfd; TR11; 启动定时器 SM00; 串口通信模式设置 SM11; REN1; 串口允许接收数据 PCON0; 波特率不倍频 SMOD0; 波特率不倍频 EA1; 开总中断 ES1; 开串行中断 /*数码管的显示 */ void displayuint temp uchar bai,shi,ge; baitemp/100; shitemp100/10; getemp10010; dula0; P0tablebai; 显示百位 dula1; 从 0 到 1,有个上升沿,解除锁存,显示相应段 dula0; 从 1 到 0 再次锁存 wela0; P00 xfe; wela1; wela0; delay1; 延时约 2ms P0table1shi; 显示十位 dula1; dula0; P00 xfd; wela1; wela0; delay1; P0tablege; 显示个位 dula1; dula0; P00 xfb; wela1; wela0; delay1; /* 时序初始化时序、读时序、写时序。 所有时序都是将主机单片机作为主设备,单总 线器件作为从设备。而每一次命令和数据的传输 都是从主机主动启动写时序开始,如果要求单总 线器件回送数据,在进行写命令后,主机需启动 读时序完成数据接收。数据和命令的传输都是低 位在先。 初始化时序复位脉冲 存在脉冲 读;1 或 0 时序 写;1 或 0 时序 只有存在脉冲信号是从 18b20(从机)发出的,其 它信号都是由主机发出的。 存在脉冲让主机(总线)知道从机(18b20)已 经做好了准备。 / /* 初始化检测总线控制器发出的复位脉冲 和 ds18b20 的任何通讯都要从初始化开始 初始化序列包括一个由总线控制器发出的复位脉冲 和跟在其后由从机发出的存在脉冲。 初始化复位脉冲存在脉冲 具体操作 总线控制器发出(TX)一个复位脉冲 (一个最少保持 480s 的低电平信号) ,然后释放总 线, 进入接收状态(RX) 。单线总线由 5K 上拉电阻拉到高电平。探测到 I/O 引脚上的上升沿后 DS1820 等待 1560s,然后发出存在脉冲(一个 60240s 的低电平信号) 。 具体看 18b20 单线复位脉冲时序和 1-wire presence detect “的时序图 -*/ void ds_resetvoid ds1; _nop_; 1us ds0; TempDelay80; 当总线停留在低电平超过 480us,总线上所以器件都将被复位,这里延 时约 530us 总 线停留在低电平超过 480s,总线上的所有器件都将被复位。 _nop_; ds1; 产生复位脉冲后,微处理器释放总线,让总线处于空闲状态,原因查 18b20 中文资料 TempDelay5; 释放总线后,以便从机 18b20 通过拉低总线来指示其是否在线, 存在检测高电平时间 1560us, 所以延时 44us, 进行 1-wire presence detect (单 线存在检测) _nop_; _nop_; _nop_; ifds0 flag1; detect 18b20 success else flag0; detect 18b20 fail TempDelay20; 存在检测低电平时间60240us,所以延时约 140us _nop_; _nop_; ds1; 再次拉高总线,让总线处于空闲状态 原理解释控制器对 18B20 操作流程 1 , 复位 首先我们必须对 DS18B20 芯片进行复位 , 复位就是由控制器 ( 单片机 ) 给 DS18B20 单总线至少 480uS 的低电平信号 。 当 18B20 接到此复位信号后则会 在 1560uS 后回发一个芯片的存在脉冲。 2 , 存在脉冲 在复位电平结束之后 , 控制器应该将数据单总线拉高 , 以便于 在 1560uS 后接收存在脉冲,存在脉冲为一个 60240uS 的低电平信号。至此,通信双方已 经达成了基本的协议,接下来将会是控制器与 18B20 间的数据通信 。 /* 读/写时间隙 DS1820 的数据读写是通过时间隙处理 位和命令字来确认信息交换。 */ bit ds_read_bitvoid 读一位 bit dat; ds0; 单片机(微处理器)将总线拉低 _nop_; 读时隙起始于微处理器将总线拉低至少 1us ds1; 拉低总线后接着释放总线,让从机 18b20 能够接管总线,输出有效数据 _nop_; _nop_; 小延时一下,读取 18b20 上的数据 ,因为从 ds18b20 上输出的数据 在读“时间隙“下降沿出现 15us 内有效 datds; 主机读从机 18b20 输出的数据,这些数据在读时隙的下降沿出现15us 内 有效 TempDelay10; 所有读“时间隙“必须 60120us,这里 77us returndat; 返回有效数据 原理图 8 读时间隙时控制时的采样时间应该更加的精确才行 , 读时间隙时也是必须先由主 机产生至少 1uS 的低电平,表示读时间的起始。随后在总线被释放后的 15uS 中 DS18B20 会发送内部数据位,这时控制如果发现总线为高电平表示读出 “ 1 ” , 如果总线为低电平则表示读出数据 “ 0 ” 。每一位的读取之前都由控制器加一个 起始信号。注意如图 8 所示,必须在读间隙开始的 15uS 内读取数据位才可以 保证通信的正确。 在通信时是以 8 位 “ 0 ” 或 “ 1 ” 为一个字节 , 字节的读或写是从高位开始的 , 即 A7 到 A0. 字节的读写顺序也是如图 2 自上而下的。 uchar ds_read_bytevoid 读一字节 uchar value,i,j; value0; 一定别忘了给初值 fori0;i1; 这一步的说明在一个 word 文档里面 returnvalue; 返回一个字节的数据 void ds_write_byteuchar dat 写一个字节 uchar i; bit onebit; 一定不要忘了,onebit 是一位 fori1;i1; 由低到高传送数据 ifonebit 写 1 ds0; _nop_; _nop_; 看时序图,至少延时 1us,才产生写“时间隙“ ds1; 写时间隙开始后的 15s 内允许数据线拉到高电平 TempDelay5; 所有写时间隙必须最少持续 60us else 写 0 ds0; TempDelay8; 主机要生成一个写 0 时间隙,必须把数据线拉到低电平并保持至少 60s,这里 64us ds1; _nop_; _nop_; /* 主机(单片机)控制 18B20 完成温度转换要经过三个步骤 每一次读写之前都要 18B20 进行复位操作,复位成功后发送 一条 ROM 指令,最后发送 RAM 指令,这样才能对 DS18b20 进行 预定的操作。 复位要求主 CPU 将数据线下拉 500us,然后释放,当 ds18B20 受到信号后等待 1660us,后发出 60240us 的存在低脉冲, 主 CPU 收到此信号表示复位成功 / /* 进行温度转换 先初始化 然后跳过 ROM跳过 64 位 ROM 地址,直接向 ds18B20 发温度转换命令,适合单片工作 发送温度转换命令 */ void tem_change ds_reset; delay1; 约 2ms ds_write_byte0 xcc;单线情况下,跳跃 ROM 指令 ds_write_byte0 x44;温度转换指令 /* 获得温度 */ uint get_temperature float wendu; uchar a,b; ds_reset; delay1; 约 2ms ds_write_byte0 xcc; ds_write_byte0 xbe;发送读温度命令 ads_read_byte;读出温度低 8 位 bds_read_byte;读书温度高 8 位 tempb; temp0;a display get_temperature;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
DS18B20温度传感器 * * C51 * * yajou 2008-06-28 无CRC * ********************************************************/ #include "reg51.h" #include "intrins.h" #include "DS18B20.h" /******************************************************** * us延时程序 * ********************************************************/ void Delayus(uchar us) { while(us--); //12M,一次6us,加进入退出14us(8M晶振,一次9us) } /******************************************************** * DS18B20初始化 * ********************************************************/ bit Ds18b20_Init(void) //存在返0,否则返1 { bit temp = 1; uchar outtime = ReDetectTime; //超时时间 while(outtime-- && temp) { Delayus(10); //(250)1514us时间可以减小吗 ReleaseDQ(); Delay2us(); PullDownDQ(); Delayus(100); //614us(480-960) ReleaseDQ(); Delayus(10); //73us(>60) temp = dq; Delayus(70); //us } return temp; } /******************************************************** * 写bit2DS18B20 * ********************************************************/ void Ds18b20_WriteBit(bit bitdata) { if(bitdata) { PullDownDQ(); Delay2us(); //2us(>1us) ReleaseDQ(); //(上述1-15) Delayus(12); //86us(45- x,总时间>60) }else { PullDownDQ(); Delayus(12); //86us(60-120) } ReleaseDQ(); Delay2us(); //2us(>1us) } /******************************************************** * 写Byte DS18B20 * ********************************************************/ void Ds18b20_WriteByte(uchar chrdata) { uchar ii; for(ii = 0; ii < 8; ii++) { Ds18b20_WriteBit(chrdata & 0x01); chrdata >>= 1; } } /******************************************************** * 写 DS18B20 * ********************************************************/ //void Ds18b20_Write(uchar *p_readdata, uchar bytes) //{ // while(bytes--) // { // Ds18b20_WriteByte(*p_readdata); // p_readdata++; // } //} /******************************************************** * 读bit From DS18B20 * ********************************************************/ bit Ds18b20_ReadBit(void) { bit bitdata; PullDownDQ(); Delay2us(); //2us( >1us) ReleaseDQ(); Delay8us(); //8us( <15us) bitdata = dq; Delayus(7); //86us(上述总时间要>60us) return bitdata; } /******************************************************** * 读Byte DS18B20 * ********************************************************/ uchar Ds18b20_ReadByte(void) { uchar ii,chardata; for(ii = 0; ii < 8; ii++) { chardata >>= 1; if(Ds18b20_ReadBit()) chardata |= 0x80; } return chardata; } /******************************************************** * 读 DS18B20 ROM * ********************************************************/ bit Ds18b20_ReadRom(uchar *p_readdata) //成功返0,失败返1 { uchar ii = 8; if(Ds18b20_Init()) return 1; Ds18b20_WriteByte(ReadROM); while(ii--) { *p_readdata = Ds18b20_ReadByte(); p_readdata++; } return 0; } /******************************************************** * 读 DS18B20 EE * ********************************************************/ bit Ds18b20_ReadEE(uchar *p_readdata) //成功返0,失败返1 { uchar ii = 2; if(Ds18b20_Init()) return 1; Ds18b20_WriteByte(SkipROM); Ds18b20_WriteByte(ReadScr); while(ii--) { *p_readdata = Ds18b20_ReadByte(); p_readdata++; } return 0; } /******************************************************** * 温度采集计算 * ********************************************************/ bit TempCal(float *p_wendu) //成功返0,失败返1 (温度范围-55 --- +128) { uchar temp[9],ii; uint tmp; float tmpwendu; TR1 = 0; TR0 = 0; //读暂存器和CRC值----------------------- if(Ds18b20_ReadEE(temp)) { TR1 = 1; TR0 = 1; return 1; } //------------------------------------- //CRC校验------------------------------ // //此处应加入CRC校验等 // // //------------------------------------- //使温度值写入相应的wendu[i]数组----- for(ii = i; ii > 0; ii--) { p_wendu++; } i++; if(i > 4) i = 0; //------------------------------------- //温度正负数处理----------------------- // //------------------------------------- //温度计算----------------------------- tmp = temp[1]; // tmp <<= 8; // tmp |= temp[0]; //组成温度的两字节合并 tmpwendu = tmp; *p_wendu = tmpwendu / 16; //------------------------------------- //开始温度转换------------------------- if(Ds18b20_Init()) { TR1 = 1; TR0 = 1; return 1; } Ds18b20_WriteByte(SkipROM); Ds18b20_WriteByte(Convert); ReleaseDQ(); //寄生电源时要拉高DQ //------------------------------------ TR1 = 1; TR0 = 1; return 0; } //////////DS18B20.h///////////////////////// /******************************************************** * I/O口定义 * ********************************************************/ sbit dq = P1^3; sbit dv = P1^4; //DS18B20强上拉电源 /******************************************************** * 命令字定义 * ********************************************************/ #define uchar unsigned char #define uint unsigned int #define ReleaseDQ() dq = 1; //上拉/释放总线 #define PullDownDQ() dq = 0; //下拉总线 #define Delay2us() _nop_();_nop_(); //延时2us,每nop 1us #define Delay8us() _nop_();_nop_();_nop_();_nop_();_nop_();_nop_();_nop_();_nop_(); //设置重复检测次次数,超出次数则超时 #define ReDetectTime 20 //ds18b20命令 #define SkipROM 0xCC #define MatchROM 0x55 #define ReadROM 0x33 #define SearchROM 0xF0 #define AlarmSearch 0xEC #define Convert 0x44 #define WriteScr 0x4E #define ReadScr 0xBE #define CopyScr 0x48 #define RecallEE 0xB8 #define ReadPower 0xB4 /******************************************************** * 函数 * ********************************************************/ void Delayus(uchar us); //void Dog(void); bit Ds18b20_Init(void); //DS18B20初始化,存在返0,否则返1 void Ds18b20_WriteBit(bit bitdata); //写bit2DS18B20 void Ds18b20_WriteByte(uchar chrdata); //写Byte DS18B20 void Ds18b20_Write(uchar *p_readdata, uchar bytes); //写 DS18B20 bit Ds18b20_ReadBit(void); //读bit From DS18B20 uchar Ds18b20_ReadByte(void); //读Byte DS18B20 bit Ds18b20_ReadRom(uchar *p_readdata); //读 DS18B20 ROM:成功返0,失败返1 bit Ds18b20_ReadEE(uchar *p_readdata); //读 DS18B20 EE :成功返0,失败返1 bit TempCal(float *p_wendu); //成功返0,失败返1 (温度范围-55 --- +128) [目录] 第一章 前言 第二章 设计方案 第三章 数字温度传感器芯片特性 第四章 AT89S52单片机简介 第五章 单片机驱动蜂鸣器原理 第六章 单片机驱动继电器原理 第七章 按键设计 第八章 数码管显示电路 附录 1.源程序 2.电路图 [摘要] 应用数字温度传感器DS18B20设计的智能温度控制系统,实现方便、精度高、功耗低、微型化、抗干扰能力强,可根据不同需要用于各种温度监控及其他各种温度测控系统。简单的外围电路主要依靠单片机程序控制,实现温度的实时采集与比较,温度值的十进制数转换,-55°C ~125°C实时的温度显示及上下限温度值显示,键盘对上下限温度的设定,各种数据处理及报警温度的判断,单片机对继电器的驱动实现相应的加热、制冷控制。 在单片机程序的控制下,新一代的可编程数字温度传感器DS18B20完成其温度的转化和相应的数据处理与比较;选择简单的独立式按键,简化程序。大量应用PNP三极管的开关作用和电流的放大作用,实现单片机I/O口小电流的TTL电平对外围器件的控制。加热、制冷电机启动指示灯及各种保护,恒温指示灯,和各种报警声构成人性化智能温控系统。 [正文] 第一章 前言 本论文介绍单片机结合DS18B20设计的智能温度控制系统,系统用一种新型的“一总线”可编程数字温度传感器DS18B20),不需复杂的信号调理电路和A/D转换电路能直接与单片机完成数据采集和处理,实现方便、精度高、功耗低、微型化、抗干扰能力强,可根据不同需要用于各种温度监控及其他各种温度测控系统。 美国DALLAS最新单线数字温度传感器DS18B20,具有微型化低功耗、高性能、可组网等优点,新的“一线器件”体积更小、适用电压更宽、更经济 Dallas 半导体公司的数字化温度传感器DS1820是世界上第一片支持 “一线总线”接口的温度传感器。一线总线独特而且经济的特点,使用户可轻松地组建传感器网络,为测量系统的构建引入全新概念。DS18B20的测温分辨率较高,DS18B20可直接将温度转化成串行数字信号,因此特别适合和单片机配合使用,直接读取温度数据。目前DS18B20数字温度传感器已经广泛应用于恒温室、粮库、计算机机房。测量温度范围为 -55°C~+125°C,在-10~+85°C范围内,误差为±0.5°C。现场温度直接以“一线总线”的数字方式传输,大大提高了系统的抗干扰性。适合于恶劣环境的现场温度测量,如:环境控制、设备或过程控制、测温类消费电子产品等。新的产品支持3V~5.5V的电压范围,使系统设计更灵活、方便。而且新一代产品更便宜,体积更小。 DS18B20可以程序设定9~12位的分辨率,精度为0.0625°C。可选更小的封装方式,更宽的电压适用范围。分辨率设定,及用户设定的报警温度存储在EEPROM,掉电后依然保存。DS18B20的性能是新一代产品最好的!性能价格比也非常出色!DS18B20使电压、特性及封装有更多的选择,让我们可以构建适合自己的经济的测温系统。 在传统的模拟信号远距离温度测量系统,需要很好的解决引线误差补偿问题、多点测量切换误差问题和放大电路零点漂移误差问题等技术问题,才能够达到较高的测量精度。另外一般监控现场的电磁环境都非常恶劣,各种干扰信号较强,模拟温度信号容易受到干扰而产生测量误差,影响测量精度。因此,在温度测量系统,采用抗干扰能力强的新型数字温度传感器是解决这些问题的最有效方案,新型数字温度传感器DS18B20具有体积更小、精度更高、适用电压更宽、采用一线总线、可组网等优点,在实际应用取得了良好的测温效果。传统的测温元件测出的一般都是电压,再转换成对应的温度,需要比较多的外部硬件支持,电路复杂,软件调试复杂,制作成本高。所以本人改用一种智能传感器DS18B20作为检测元件,可以直接读出被测温度值。1线制与单片机相连,减少了外部硬件电路,具有低成本和易使用的特点。 [参考文献] [1] 童诗白、华成英.模拟电子技术基础.高等教育出版社,2000 [2] 阉石.数字电子技术基础.高等教育出版社,1998 [3] 李朝青.单片机原理与接口技术.北京航空航天大学出版社,2000 [4] 楼然苗、李光飞.单片机课程设计指导.电子工业出版社,2007 [5] Intel. MCS-51 Family of Single Chip Microcomputers User’s Manual.1990 [6] Keil Software Company. Cx51 Compiler User’s Guide. 2001 [7] 李群芳.单片机微型计算机与接口技术.电子工业出版社,1997 [8] 全国大学生电子设计竞赛——1994年获奖作品选编 [9] 肖忠祥.数据采集原理.西北工业大学出版社,2001 [10] ATMEL公司 AT89S52的技术手册 [11] 吴金戌、沈庆阳、郭庭吉.单片机实践与应用.北京:清华大学出版社 [12] 王为青、邱文勋.51单片机应用开发案例精选.人民邮电出版社,2007  TS-18B20 数字温度传感器(www.ftco01.cn)   本公司最新推出TS-18B20数字温度传感器,该产品采用美国DALLAS公司生产的 DS18B20可组网数字温度传感器芯片封装而成,具有耐磨耐碰,体积小,使用方便,封装形式多样,适用于各种狭小空间设备数字测温和控制领域。   1: 技术性能描述   1.1 独特的单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯。   1.2 测温范围 -55℃~+125℃,固有测温分辨率0.5℃。   1.3 支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现多点测温   1.4 工作电源: 3~5V/DC   1.5 在使用不需要任何外围元件   1.6 测量结果以9~12位数字量方式串行传送   1.7 不锈钢保护管直径 Φ6   1.8 适用于DN15~25, DN40~DN250各种介质工业管道和狭小空间设备测温   1.9 标准安装螺纹 M10X1, M12X1.5, G1/2”任选   1.10 PVC电缆直接出线或德式球型接线盒出线,便于与其它电器设备连接。   2:应用范围   2.1 该产品适用于冷冻库,粮仓,储罐,电讯机房,电力机房,电缆线槽等测温和控制领域   2.2 轴瓦,缸体,纺机,空调,等狭小空间工业设备测温和控制。   2.3 汽车空调、冰箱、冷柜、以及低温干燥箱等。   2.5 供热/制冷管道热量计量,央空调分户热能计量和工业领域测温和控制   3:产品型号与规格   型 号 测温范围 安装螺纹 电缆长度 适用管道   TS-18B20 -55~125 无 1.5 m   TS-18B20A -55~125 M10X1 1.5m DN15~25   TS-18B20B -55~125 1/2”G 接线盒 DN40~ 60   4:接线说明   特点 独特的一线接口,只需要一条口线通信 多点能力,简化了分布式温度传感应用 无需外部元件 可用数据总线供电,电压范围为3.0 V至5.5 V 无需备用电源 测量温度范围为-55 ° C至+125 ℃ 。华氏相当于是-67 ° F到257华氏度 -10 ° C至+85 ° C范围内精度为±0.5 ° C   温度传感器可编程的分辨率为9~12位 温度转换为12位数字格式最大值为750毫秒 用户可定义的非易失性温度报警设置 应用范围包括恒温控制,工业系统,消费电子产品温度计,或任何热敏感系统   描述该DS18B20的数字温度计提供9至12位(可编程设备温度读数。信息被发送到/从DS18B20 通过1线接口,所以央微处理器与DS18B20只有一个一条口线连接。为读写以及温度转换可以从数据线本身获得能量,不需要外接电源。 因为每一个DS18B20的包含一个独特的序号,多个ds18b20s可以同时存在于一条总线。这使得温度传感器放置在许多不同的地方。它的用途很多,包括空调环境控制,感测建筑物内温设备或机器,并进行过程监测和控制。   8引脚封装 TO-92封装 用途 描述   5 1 接地 接地   4 2 数字 信号输入输出,一线输出:源极开路   3 3 电源 可选电源管脚。见"寄生功率"一节细节方面。电源必须接地,为行动,寄生虫功率模式。   不在本表所有管脚不须接线 。   概况框图图1显示的主要组成部分DS18B20的。DS18B20内部结构主要由四部分组成:64位光刻ROM、温度传感器、非挥发的温度报警触发器TH和TL、配置寄存器。该装置信号线高的时候,内部电容器 储存能量通由1线通信线路给片子供电,而且在低电平期间为片子供电直至下一个高电平的到来重新充电。 DS18B20的电源也可以从外部3V-5 .5V的电压得到。   DS18B20采用一线通信接口。因为一线通信接口,必须在先完成ROM设定,否则记忆和控制功能将无法使用。主要首先提供以下功能命令之一: 1 )读ROM, 2 )ROM匹配, 3 )搜索ROM, 4 )跳过ROM, 5 )报警检查。这些指令操作作用在没有一个器件的64位光刻ROM序列号,可以在挂在一线上多个器件选定某一个器件,同时,总线也可以知道总线上挂有有多少,什么样的设备。   若指令成功地使DS18B20完成温度测量,数据存储在DS18B20的存储器。一个控制功能指挥指示DS18B20的演出测温。测量结果将被放置在DS18B20内存,并可以让阅读发出记忆功能的指挥,阅读内容的片上存储器。温度报警触发器TH和TL都有一字节EEPROM 的数据。如果DS18B20使用报警检查指令,这些寄存器可作为一般的用户记忆用途。在片上还载有配置字节以理想的解决温度数字转换。写TH,TL指令以及配置字节利用一个记忆功能的指令完成。通过缓存器读寄存器。所有的数据都读,写都是从最低位开始。   DS18B20有4个主要的数据部件:   (1)光刻ROM的64位序列号是出厂前被光刻好的,它可以看作是该DS18B20的地址序列码。64位光刻ROM的排列是:开始8位(28H)是产品类型标号,接着的48位是该DS18B20自身的序列号,最后8位是前面56位的循环冗余校验码(CRC=X8+X5+X4+1)。光刻ROM的作用是使每一个DS18B20都各不相同,这样就可以实现一根总线上挂接多个DS18B20的目的。   (2) DS18B20温度传感器可完成对温度的测量,以12位转化为例:用16位符号扩展的二进制补码读数形式提供,以0.0625℃/LSB形式表达,其S为符号位。   表1 DS18B20温度值格式表   4.3.1   DS18B20的管脚排列如图4.4所示。   图4.4DS18B20的管脚排列如图   DS18B20内部结构主要由四部分组成:64位光刻ROM,温度传感器,温度报警触发器TH和TL,配置寄存器。DS18B20内部结构图如图4.5所示。   图4.5 DS18B20内部结构图   4.3.2存储器   DS18B20的存储器包括高速暂存器RAM和可电擦除RAM,可电擦除RAM又包括温度触发器TH和TL,以及一个配置寄存器。存储器能完整的确定一线端口的通讯,数字开始用写寄存器的命令写进寄存器,接着也可以用读寄存器的命令来确认这些数字。当确认以后就可以用复制寄存器的命令来将这些数字转移到可电擦除RAM。当修改过寄存器的数时,这个过程能确保数字的完整性。   高速暂存器RAM是由8个字节的存储器组成;第一和第二个字节是温度的显示位。第三和第四个字节是复制TH和TL,同时第三和第四个字节的数字可以更新;第五个字节是复制配置寄存器,同时第五个字节的数字可以更新;六、七、八三个字节是计算机自身使用。用读寄存器的命令能读出第九个字节,这个字节是对前面的八个字节进行校验。存储器的结构图如图4.6所示。   图4.6 存储器的结构图   4.3.3 64-位光刻ROM   64位光刻ROM的前8位是DS18B20的自身代码,接下来的48位为连续的数字代码,最后的8位是对前56位的CRC校验。64-位的光刻ROM又包括5个ROM的功能命令:读ROM,匹配ROM,跳跃ROM,查找ROM和报警查找。64-位光刻ROM的结构图如图4.7所示。   图4.7位64-位光刻ROM的结构图   4.3.4 DS18B20外部电源的连接方式   DS18B20可以使用外部电源VDD,也可以使用内部的寄生电源。当VDD端口接3.0V—5.5V的电压时是使用外部电源;当VDD端口接地时使用了内部的寄生电源。无论是内部寄生电源还是外部供电,I/O口线要接5KΩ左右的上拉电阻。 连接图如图4.8、图4.9所示。   图4.8 使用寄生电源的连接图   图4.9外接电源的连接图   4.3.4 DS18B20温度处理过程   4.3.4.1配置寄存器   配置寄存器是配置不同的位数来确定温度和数字的转化。配置寄存器的结构图如图4.10所示。   图4.10 配置寄存器的结构图   由图4.9可以知道R1,R0是温度的决定位,由R1,R0的不同组合可以配置为9位,10位,11位,12位的温度显示。这样就可以知道不同的温度转化位所对应的转化时间,四种配置的分辨率分别为0.5℃,0.25℃,0.125℃和0.0625℃,出厂时以配置为12位。温度的决定配置图如图8所示。   图4.11 温度的决定配置图   4.3.4.2 温度的读取   DS18B20在出厂时以配置为12位,读取温度时共读取16位,所以把后11位的2进制转化为10进制后在乘以0.0625便为所测的温度,还需要判断正负。前5个数字为符号位,当前5位为1时,读取的温度为负数;当前5位为0时,读取的温度为正数。16位数字摆放是从低位到高位,温度的关系图如图4.12所示。   图4.12为温度的关系图   4.3.4.3.DS18B20控制方法   DS18B20有六条控制命令,如表4.1所示:   表4.1 为DS18B20有六条控制命令   指 令 约定代码 操 作 说 明   温度转换 44H 启动DS18B20进行温度转换   读暂存器 BEH 读暂存器9个字节内容   写暂存器 4EH 将数据写入暂存器的TH、TL字节   复制暂存器 48H 把暂存器的TH、TL字节写到E2RAM   重新调E2RAM B8H 把E2RAM的TH、TL字节写到暂存器TH、TL字节   读电源供电方式 B4H 启动DS18B20发送电源供电方式的信号给主CPU   4.3.4.4 DS18B20的初始化   (1) 先将数据线置高电平“1”。   (2) 延时(该时间要求的不是很严格,但是尽可能的短一点)   (3) 数据线拉到低电平“0”。   (4) 延时750微秒(该时间的时间范围可以从480到960微秒)。   (5) 数据线拉到高电平“1”。   (6) 延时等待(如果初始化成功则在15到60毫秒时间之内产生一个由DS18B20所返回的低电平“0”。据该状态可以来确定它的存在,但是应注意不能无限的进行等待,不然会使程序进入死循环,所以要进行超时控制)。   (7) 若CPU读到了数据线上的低电平“0”后,还要做延时,其延时的时间从发出的高电平算起(第(5)步的时间算起)最少要480微秒。   (8) 将数据线再次拉高到高电平“1”后结束。   其时序如图4.13所示:   图4.13 初始化时序图   4.3.4.5 DS18B20的写操作   (1) 数据线先置低电平“0”。   (2) 延时确定的时间为15微秒。   (3) 按从低位到高位的顺序发送字节(一次只发送一位)。   (4) 延时时间为45微秒。   (5) 将数据线拉到高电平。   (6) 重复上(1)到(6)的操作直到所有的字节全部发送完为止。   (7) 最后将数据线拉高。   DS18B20的写操作时序图如图4.14所示。   图4.14 DS18B20的写操作时序图   4.3.4.6 DS18B20的读操作   (1)将数据线拉高“1”。   (2)延时2微秒。   (3)将数据线拉低“0”。   (4)延时15微秒。   (5)将数据线拉高“1”。   (6)延时15微秒。   (7)读数据线的状态得到1个状态位,并进行数据处理。   (8)延时30微秒。   DS18B20的读操作时序图如图4.15所示。   图1.15 DS18B20的读操作图
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值