时钟表24进制HTML,24进制数字电子钟时计器、译码显示电路 具有自动清零功能

设计要求

时间以24秒为一个周期,具有自动清零功能。

前言

24进制电子数字钟是实现具有24进制清零功能的电子钟,它主要由脉冲、10进制加法器74LS160、译码器74LS48、共阴极tisim9和Protel软件的操作,并进行了防真实验,在11号到15到学习制作印刷板并把领来的元器件装上电路板,15号以后调试及写课程设计报告,在这过程中主要要掌握计数、译码和显示原理的学习及焊接技术。

1.方案论证与对比

1.1方案一

一、如图1所示:首先由实验室提供震荡周期为一秒的标准秒脉冲,由74LS160采用同步清零法组成二十四进制时计数器,使用74LS48为驱动器,共阴极七段数码管作为显示器。

50deb0421e0231314de4d15410747184.png

1.2方案二

二、如图2所示:首先由实验室提供震荡周期为一秒的标准秒脉冲,由74LS160采用异步清零法组成二十四进制时计数器,使用74LS48为驱动器,共阴极七段数码管作为显示器。

418fccfa27b0848776d2f6c038ef7796.png

1.3两种方案的对比

相同点:两方案都正确,而且他们的基本的设计思想相同。

不同点:同步计数器中各个触发器都受同一个时钟脉冲控制,当输入计数脉冲到来时,要更新状态的触发器同时翻转。异步计数器中各个触发器没有统一的时钟脉冲,有的触发器直接受输入计数脉冲控制,有的触发器则是把其他的触发器输出用作时钟脉冲,当输入计数脉冲到来时,要更新状态的触发器,有的先翻转,有的后翻转。再者我们对异步清零电路更加了解。综合以上考虑我们选择第二种方案。

2、各功能模块设计

2.1计数器电路

集成计数器一般都设置有清零输入端和置数输入端,而且无论是清零还是置数都有同步和异步之分。有的集成计数器采用同步方式,即当CP触发沿到来时才能完成清零或置数任务;有的集成计数器则采用异步方式,即通过触发器的异步输入端来直接实现清零或置数,与CP信号无关。本设计采用具有2片十进制同步加法计数器74LS160(图2-1-1)、一片与非门74LS00(图2-1-2)和一片非

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog是一种硬件描述语言,可以用来设计数字电路。如果要设计一个60进制的计数,我们首先需要确定计数的宽度,然后使用Verilog语言编写相应的逻辑电路。 假设我们的60进制计数需要8位,我们可以使用一个8位的寄存来存储当前的计数值,并使用一个时钟信号来控制计数的计数。每当时钟信号到来,我们将当前的计数值加1,并检查是否达到60,如果达到60,则将计数值清零,否则继续计数。这样我们就可以实现一个60进制的计数。 接着,我们可以通过Verilog中的模块实例化功能,来设计一个360进制的计数。我们可以编写一个新的模块,该模块包含6位的60进制计数和6位的59进制计数,然后将它们连接起来,这样就可以实现一个360进制的计数。具体的Verilog代码如下: ```verilog module count60(input clk, // 时钟信号 input rst, // 复位信号 output reg [5:0] count60 // 60进制计数的输出 ); always @(posedge clk or posedge rst) begin if (rst) begin count60 <= 6'b0; // 复位时计数值清零 end else begin if (count60 == 6'b111101) begin // 如果计数值达到60,则清零 count60 <= 6'b0; end else begin count60 <= count60 + 6'b1; // 否则计数值加1 end end end endmodule module count360(input clk, // 时钟信号 input rst, // 复位信号 output reg [5:0] count360 // 360进制计数的输出 ); reg [5:0] count60_inst; // 实例化一个60进制计数 reg [5:0] count359_inst; // 实例化一个59进制计数 count60 count60_inst(clk, rst, count60_inst); // 实例化60进制计数 count60 count59_inst(clk, rst, count359_inst); // 实例化59进制计数 always @(posedge clk or posedge rst) begin if (rst) begin count360 <= 6'b0; // 复位时计数值清零 end else begin count360 <= {count60_inst, count59_inst}; // 连接两个计数 end end endmodule ``` 通过以上代码,我们可以实现一个360进制的计数,其中每个计数的宽度为6位,分别连接60进制计数和59进制计数。这样,我们就利用Verilog实现了一个360进制的计数

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值