杭电计算机组成原理实验九R-I,杭电计组实验10-实现R-I-J型指令的CPU设计实验.doc...

文档介绍:

实验报告2018年6月9日成绩:姓名阳光男学号16041321班级16052317专业计算机科学与技术课程名称《计算机组成原理与系统结构试验》任课老师张翔老师指导老师张翔老师机位号默认实验序号10实验名称《实验十实现R-I-J型指令的CPU设计实验》实验时间2018/6/9实验地点1教221实验设备号个人电脑、Nexys3开发板一、实验程序源代码顶层RI型指令CPU模块测试文件:moduletest; //Inputs regrst; regclk_100MHz; regclk; //Outputs wireZF; wireOF; wire[31:0]F; wire[31:0]M_R_Data; wire[31:0]PC; //InstantiatetheUnitUnderTest(UUT) TOP_RIJ_CPUuut( .rst(rst), .clk_100MHz(clk_100MHz), .clk(clk), .ZF(ZF), .OF(OF), .F(F), .M_R_Data(M_R_Data), .PC(PC) ); initialbegin //InitializeInputs rst=0; clk_100MHz=0; clk=0; //lobalresettofinish #100; //Addstimulushere forever begin #2; clk=~clk; #10; clk_100MHz=~clk_100MHz; end endendmodule顶层LED验证模块moduleTOP_LED(clk_100MHz,oclk,rst,SW,LED);inputclk_100MHz;inputoclk,rst;input[3:0]SW;outputreg[7:0]LED;wirerclk;wireZF,OF;wire[31:0]F;wire[31:0]M_R_Data;wire[31:0]PC;xiaodoudoudong(clk_100MHz,oclk,rclk);TOP_RIJ_CPU(rst,clk_100MHz,rclk,ZF,OF,F,M_R_Data,PC);always@(*)begincase(SW)4'b0000:LED=F[7:0];4'b0001:LED=F[15:8];4'b0010:LED=F[23:16];4'b0011:LED=F[31:24];4'b0100:LED=M_R_Data[7:0];4'b0101:LED=M_R_Data[15:8];4'b0110:LED=M_R_Data[23:16];4'b0111:LED=M_R_Data[31:24];4'b1000:beginLED[7:2]=0;LED[1]=OF;LED[0]=ZF;end4'b1100:LED=PC[7:0];4'b1101:LED=PC[15:8];4'b1110:LED=PC[23:16];4'b1111:LED=PC[31:24];default:LED=0;endcaseendendmodule顶层RIJ型指令CPU验证模块:moduleTOP_RIJ_CPU(inputrst,inputclk_100MHz,inputclk,outputZF,outputOF,output[31:0]F,output[31:0]M_R_Data,output[31:0]PC);wireWrite_Reg;wire[31:0]Inst_code;wire[4:0]rs;wire[4:0]rt;wire[4:0]rd;wire[31:0]rs_data;wire[31:0]rt_data;wire[31:0]rd_data;wire[31:0]imm_data;//被扩展的立即数wire[15:0]imm;//wirerd_rt_s;wire[1:0]w_r_s;wireimm_s;//判断是否需要扩展wirert_imm_s;//B端选择rt或者是扩展后的immwireMem_Write;//wirealu_mem_s;wire[1:0]wr_data_s;wire[31:0]W_Addr;wire[31:0]W_Data;wire[31:0]R_Data_A;wire[31:0]R_Data_B;wire[31:0]F;wire[31:0]ALU_B;//B端口数据wire[2:0]ALU_OP;wire[1:0]PC_s;wire[31:0]PC_new;wire[31:0]PC;wire[25:0]address;pcpc_connect(clk,rst,PC_s,R_Data_A,imm_data,address,Inst_code,PC);OP_YIMAop(Inst_code,ALU_O

内容来自淘豆网www.taodocs.com转载请标明出处.

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值