计算机设计ppt,计算机设计及实践.ppt

计算机设计及实践

VHDL程序与C程序的对照 #include int main(int argc, char** argv); main() { printf(“Hello World”); } Library IEEE; Use IEEE.Std_logic_1164.ALL; ? Entity test is port( in1,in2:in Std_logic; out1:out Std_logic); End test; ? Architecture behav of test is Begin out1<=in1 and in2; End behav; 库文件 引用说明 实体说明 结构体 * 等价的逻辑电路 * 基本VHDL模型三要素: 库声明 列出了当前设计中需要用到的所有库文件 实体说明(Entity Declaration) 定义了设计单元的输入输出接口信号或引脚 结构体(Architecture Body) 描述了电路要实现的功能 3.1 库声明(library) 库是一些常用代码的集合,将电路设计中经常使用的一些代码存放到库中有利于设计的重用和代码共享 library ; -- 打开一个库 use < 设计库名>..all; -- 打开一个程序包,也可用某个特定的元件名代替 all library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; Library Package 某特定元件名 或关键词 all * VHDL程序包 常用的预定义的程序包 STD_LOGIC_1164程序包 STD_LOGIC_ARITH程序包 STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包 STANDARD程序包 3.1 库声明(library) * 3.2 实体说明 描述设计单元的输入输出接口信号或引脚 BLACK_BOX rst d[7:0] clk q[7:0] co Entity实体名Is [port (端口表)]; End 实体名; 3.2 实体说明 端口为设计实体及其外部环境的动态通信提供通道,每个端口必须有一个名字、一个通信模式和一个数据类型 名字是该端口的标识符,是设计者为实体的每一个对外通道所取的名字 模式说明数据通过该端口的流动方向 数据类型说明流过该端口的数据类型 port (端口名{,端口名} : [模式] 数据类型名;…); 端口说明 * IN OUT INOUT BUFFER IN (流入实体) OUT (流出实体) INOUT (双向端口:既可流入,又可流出) BUFFER(双向端口,可以流出,也可在实体 内反馈,但该端口只能在实体内赋 值,不能外部驱动) 3.2 实体说明 端口模式 * 模式为BUFFER的端口 BUFFER端口只能由VHDL程序内部的信号提供输入,不能由外部提供输入; BUFFER可以定义设计中的观察量,就像用示波器去观察一样,它可以采集被观察信号的任何变化,而不会在外界给它施加任何输入 模式为INOUT的端口 INOUT既可由VHDL程序内部的信号提供输入,也可由外部提供输入 INOUT可以定义一般的双向信号,如数据总线等信号 3.2 实体说明 端口模式 * 实体说明举例 BLACK_BOX rst d[7:0] clk q[7:0] co LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY black_box IS PORT ( clk, rst:INstd_logic; d:IN std_logic_vector(7 DOWNTO 0); q:OUTstd_logic_vector(7 DOWNTO 0); co:OUT std_logic); END black_box; 模式 类型 * VHDL程序与C程序的对照 Library IEEE; Use IEEE.Std_logic_1164.ALL; ? Entity test is port( in1,in2:in Std_logic; out1:out Std_logic); End test; ? Architecture behav of test is Begin out1<=in1 and in2; End beha

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值