java开发电脑分频器,FPGA设计——分频器(2.5分频器的程序)

本文介绍了小数分频器的基本设计原理,它通过结合不同分频比的整数分频器并控制其切换频率来实现。虽然小数分频导致的信号抖动限制了其实用性,但半数分频因稳定性而常被采用。文中提供了一个2.5分频器的VHDL代码实现,适合于数字电路和FPGA设计的学习者参考。
摘要由CSDN通过智能技术生成

小数分频器设计

设计原理:小数分频的基本原理是采用脉冲吞计数器和锁相环先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。由于分频器的分频值不断改变,因此分频后得到的信号抖动比较大,实际应用不常用。半数分频稳定,经常会用。

下面是一个2.5分频器的程序。

library ieee;

use

ieee.std_logic_1164.all;

use

ieee.std_logic_unsigned.all;

use

ieee.numeric_std.all;

entity fenpin is

generic(dwidth:integer:=3);

port(clkin:in

std_logic;

set:in std_logic_vector(dwidth

downto 0);

clkout:buffer

std_logic);

end;

architecture rt1 of fenpin

is

signal

clk,div2:std_logic;

signal count:integer range dwidth

downto 0;

begin

clk<=clkin xor div2;

process(clk)

begin

if(clk'event and clk='1')

then

if (count=0) then

count<=

to_integer(unsigned(set))-1;

clkout<='1';

else

count<=count-1;

clkout<='0';

end if;

end if;

end process;

process(clkout)

begin

if(clkout'event and clkout='1')

then

div2<=not div2;

end if;

end process;

end rt1

来源于九江学院电子学院,仅供参考——mksun成

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值