计算机组成原lta,计算机组成原理实验三运算器

该实验详细介绍了如何利用74系列芯片构建一个八位运算器,包括数据输入、运算功能选择、数码管显示等部分,并通过波形仿真展示了加法、逻辑运算和复合运算的过程。实验内容涉及了运算器的工作原理、总线传输和多种运算功能的实现。
摘要由CSDN通过智能技术生成

《计算机组成原理实验三运算器》由会员分享,可在线阅读,更多相关《计算机组成原理实验三运算器(11页珍藏版)》请在人人文库网上搜索。

1、实验三:八位运算器组成实验一:实验目的:1:掌握运算器的组成原理、工作原理;2: 了解总线数据传输结构;3:熟悉简单的运算器的数据通路与控制信号的关系;4:完成给定数据的算术操作、逻辑操作;二:实验条件:1: PC机一台;2: MAX+PLUS软件;三:实验内容(一)1:所用到的芯片74181 :四位算术逻辑运算单元;74244:收发器(双向的三态缓冲器)74273:八位D触发器;74374 :八位D锁存器;74163:八进制计数器;7449:七段译码器2:实验电路图(1)运算器电路图(A) 数据输入电路由两个十六进制计数器连接成 16*16=256进制的计数器,可 以实现八位的输入。(B) 。

2、运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的 M位和Cn位的选择,一共可以实现16*3=48 种运算功能。内部由一个74163构成。CLRJ61ENjai+鼻嗨3呵即J:Ul is La 叫 7 :内部结构:QNCL啊 djiYLDHA:04=GO1;06r.T:GE2ENT8-CYlLPHCLHCOUI4TER(C) 数码管扫描显示电路由一个扫描电路 sean和一个七段译码器7449组成,(D) 运算器电路图AT. i 7 机UED 5 U O oo ou.m M-Q心空Q 口 C丿:.,3 EH密Lczzi:立(2)波形仿真图(A)输入两个数。

3、 A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总 线上显示。10A 3n$i-CLKrnELCDUQt)(OllK)Q3X*X:SJOSJ【0P叩01H 30Lxnr-L LDR4Rd BUSLDDR1、R1的猗人打弃E* LDRS1-ALU_BJS港总感输出FS4的蘇朿XROD hi:;FNCDkJD7 0H 1ClCLRL3U50-GLR SW BUS*-gLDR4一-crj trf-Muj_iai _CLR_1E12 i3 0ea忖 nnim CLK0OODUli.II ;70C Gn ian I)in:iW-LDR5R5 BUSLOOR?-EN iei。

4、CLR.1E31 心 U轻了41利的斬出打开rT_a_rirT_rLrLrLn_rLn_rLr=! UUU11D1U(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s仁0001,M=0,CN=0输出使能:ALU_BUS=0计算结果:05H+0AH=10H四:实验内容(二)给定A,B两个数,设A=05H,B=0AH完成几种常见的算术运算和逻辑运算画出 运算的波形和仿真图(1)逻辑运算:A and B,A or B,取反/A,A O B,A B;M=1, ALU BUS=0,Cr任意/AA BAO BA and BA or B输入控制s3s2s1s000000110100。

5、110111110计算结果FAH0FHF0H00H0FH11 9us20u$22m2 3u$DOKffJfs IOFLTLTLTLTLnrLrLnrLTLrLnLm1TLTA3B = rl(H Aand B/rMOj倔 1IlQlOXionJi 100)(1101 X11W(2)算术运算:A加B, A加B (带进位),A减B;M=0, ALU BUS=OA-BA+B+1A+B输入控 制s3s2s1s0011010011001Cn001计算结果FBH10H0FHHam 也ENCDUACL*ASW.BUS2 叩.0-o crwHOF9 1001orf 目 neralsJOTOFuFLJruTJJL。

6、TLTLCCA+B r $!/ /yy rI-mXD111 X1001(3)复合运算:A加B减(/A) B)力卩B; (/(A O B)减(A B)力卩1注意:计算(A加B)减(/A) B)前需要把R4,R5的值装载到LDDR1,LDDR里;计算(A加B)减(/A) -B)后需要重新送入数据B,存入R5并且装载到LDDR冲A加B减(/A) B)加B(/A) BA加B(A 加 B)减(/A) B)(A 加 B 加 B)减(/A) B)加 B输入 控制M1000Cn101s3s2s1s00010100101101001计算结果0AH0FH05H0FH载入寄存器R5R4R4orfn03090AOF兰載到LU D巳二)IE 052 Busemo 何?:1(00乂loot萇箜至LDDR22 5ui装報到乳5 / (A O B)减(A B)力卩 1AO BA B(AO B)减(A B)/(A O B)减(A B)加1输入 控制M11010Cn/00s3s2s1s010010110011000000000计算结果FOH0FHE1H1EH1FH载入寄存器R4R5R4R4注意:AO B=/( A B。

1. 深入掌握CPU的工作理,包括ALU、控制器、寄存器、存储器等部件的工作理; 2. 熟悉和掌握指令系统的设计方法,并设计简单的指令系统; 3. 理解和掌握小型计算机的工作理,以系统的方法建立起整机概念; 4. 理解和掌握基于VHDL语言和TEC-CA硬件平台设计模型机的方法。 二、设计要求   参考所给的16位实验CPU的设计与实现,体会其整体设计思路,并理解该CPU的工作理。在此基础上,对该16位的实验CPU(称为参考CPU)进行改造,以设计得到一个8位的CPU。总的要求是将来16位的数据通路,改成8位的数据通路,总的要求如下: 将来8位的OP码,改成4位的OP码; 将来8位的地址码(包含2个操作数),改成4位的地址码(包含2个操作数)。   在上述总要求的基础上,对实验CPU的指令系统、ALU、控制器、寄存器、存储器进行相应的改造。具体要求如下: 修改指令格式,将来指令长为16位的指令格式改成8位的指令长格式; 设计总共16条指令的指令系统。此指令系统可以是参考CPU指令系统的子集,但参考CPU指令系统中A组和B组中的指令至少都要选用2条。此外,常见的算术逻辑运算、跳转等指令要纳入所设计的指令系统; 设计8位的寄存器,每个寄存器有1个输入端口和2个输出端口。寄存器的数量受控于每一个操作数的位数,具体要看指令格式如何设计; 设计8位的ALU,具体要实现哪些功能与指令系统有关。设计时,不直接修改参考CPU的VHDL代码,而是改用类似之前基础实验时设计ALU的方式设计; 设计8位的控制逻辑部件,具体结合指令功能、硬布线逻辑进行修改; 设计8位的地址寄存器IR、程序计数器PC、地址寄存器AR; 设计8位的存储器读写部件。由于改用了8位的数据通路,不能直接采用DEC-CA平台上的2片16位的存储芯片,需要按照基础实验3的方法设计存储器。此种方法不能通过DebugController下载测试指令,因此测试指令如何置入到存储器中是一个难点。设计时,可以考虑简单点地把指令写死在存储器中(可用于验证指令的执行),然后用只读方式读出来;或者考虑在reset的那一节拍里,实现存储器中待测试指令的置入; (可选项)设计8位的数据寄存器DR; (可选项)不直接设计存储器RAM,而是采用DEC-CA平台上的2片16位的存储芯片.在实现了第9个要求的基础上,实现由Debugcontroller置入待测试指令; (可选项)顶层实体,不是由BDF方式画图实现,而是用类似基础实验4(通用寄存器组)中设计顶层实体的方式,用VHDL语言来实现。 (可选项)自己设想   利用设计好的指令系统,编写汇编代码,以便测试所有设计的指令及指令涉及的相关功能。设计好测试用的汇编代码后,然后利用Quartus II软件附带的DebugController编写汇编编译规则。接着,利用DebugController软件把汇编编译之后的二进制代码置入到所采用的存储器中,并对设计好的8位CPU进行测试。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值