计算机组成原理tec9,(15-16-2)实验1-寄存器-TEC9.docx

(15-16-2)实验1-寄存器-TEC9

组号姓名班级学号指导老师实验时间成绩实验一、寄存器实验一、实验目的(1)熟悉寄存器堆的工作方法。(2)掌握寄存器读写方法。二、实验电路 (1)、如图所示寄存器采用可编程CPLD-ISP1016。它的功能和MC14580类似。寄存器堆中包令4个寄存器(R0、R1、R2、R3),有三个控制端口。其中两个端口控制读操作,一个端口控制写,三个端口可以同时操作。RD0、RD1选择从A端口读出的寄存器,RS1、RS0选择从B端口读出的寄存器,WR1、WR0选择被写入的寄存器。WRD控制写操作。当WRD=0时,禁止写操作,WRD=1时,在T2的上升沿将来自ER寄存器的数据写入WR1、WR0选择的寄存器。(2)、寄存器堆A端口数据直接送往运算器的操作数寄存器DR2,B端口的数据直接送往操作数寄存器DR1,还通过一片缓冲器LS244送往数据总线DBUS。当RS_BUS=1时,允许B端口的数据送往数据总线DBUS上。当RS_BUS=0时,禁止送往数据总线。(3)、实验所用信号: 1)、LDER:为1时,寄存器堆输入暂存寄存器ER加载。2)、WRD:为1时,允许对突破口堆RF进行写操作3)、WR1.WR0:选择写入寄存器堆的寄存器号4)、RS1.RS0:选择从B口读出的寄存器5)、RD1.RD0:选择从A口读出的寄存器6)、RS_BUS:为1时,将从B口读出的寄存器数据送数据总线三、实验设备TEC-9计算机组成原理实验系统1台 (2)双踪示波器一台 (3)直流万用表一只(4)逻辑测试笔一支四、实验任务(1)、往寄存器堆中四个寄存器分别写入数据。使R0=55H、R1=AAH、R2=0FH、R3=F0H。(2)、从寄存器堆中分别读出R0、R1、R2、R3,验证写入数据的正确。五、实验步骤和实验结果 1、接线(1)按表所示,正确连接寄存器堆与实验台上的电平开关K0—K8。信号IAR_BUSALU_BUSLRWCELLDER电平开关GNDGNDGNDGNDVCC信号RD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关K8K7K6K5K4K3K2K1K0置ALU_BUS = 0,关闭ALU向数据总线DBUS的输出;置SW_BUS = 1,开启数据开关SW0—SW7向数据总线DBUS的输出。注意,对于数据总线DBUS(或者其它任何总线),在任一时刻,只能有一个数据源向它输出。观察数据指示灯状态是否与数据开关状态一致。2、实验步骤置DB=0、DZ=0、DP=1工作模式开关=“脱机” 按复位按钮CLR#,使实验系统处于初始状态写寄存器堆,使R0=55H、R1=AAH、R2=0FH、R3=F0H(1)、写寄存器R0=55H信号SW7~0RD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关55H000000101按一次QD按钮,将55H写入ER寄存器;再按一次QD按钮,将ER寄存器(55H)写入R0(2)、写寄存器R1=AAH信号SW7~0RD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关AAH000001101按一次QD按钮,将AAH写入ER寄存器;再按一次QD按钮,将ER寄存器(AA5H)写入R1(3)、写寄存器R2=0FH信号SW7~0RD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关0FH000010101按一次QD按钮,将0FH写入ER寄存器;再按一次QD按钮,将ER寄存器(0FH)写入R2(4)、写寄存器R3=F0H信号SW7~0RD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关F0H000011101按一次QD按钮,将F0H写入ER寄存器;再按一次QD按钮,将ER寄存器(F0H)写入R33、实验结果读寄存器堆,验证写入R0、R1、R2、R3的值。 将实验台总线指示灯的数据结果填入表中:(1)、读寄存器R0信号DBUSRD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关55H000000010按一次QD按钮,读出R0中数据到数据总线,数据总线指示灯为55H(2)、读寄存器R1信号DBUSRD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关AAH000100010按一次QD按钮,读出R1中数据到数据总线,数据总线指示灯为AAH(3)、读寄存器R2信号DBUSRD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关0FH001000010按一次QD按钮,读出R2中数据到数据总线,数据总线指示灯为0FH(4)、读寄存器R3信号DBUSRD1RD0RS1RS0WR1WR0WRDRS_BUSSW_BUS开关F0H001100010按一次QD按钮,读出R3中数据到数据总线,数据总线指示灯为F0H4、问题:下表中信号为什么是固

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值