转 FPGA工程师应具备的素质

1.Verilog语言及其于硬件电路之间的关系; 

------做到写出代码的同时脑子里就出现相应的数字电路;

2.器件结构;

------最好熟练掌握Spartan3Vertix2Vertix5系列的器件内部基本结构,及其资源和Verilog行为描述方法的关系,等过段时间要掌握Spartan6Vertix6器件结构;

3.开发工具;

------- ISE(包括几个常用套件:floorplan ,PlanAhead ,Xpower ,Timing Analyzer ,FPGA Editor

    Modelsim ,Synplify , Quartus ,Timing Designer

4.数字电路;

-------组合电路,触发器,特别是D触发器构成分频器,奇数倍分频占空比为50%,时序电路,并且能用Verilog语言描叙

5.熟悉FPGA设计流程;

6.熟练掌握资源估算;

-------特别是slice,lut,ram等资源的估算

7.同步设计原理;

8.熟练掌握基本概念;

-------如建立时间,保持时间,流量(即所做FPGA设计的波特率)计算,延迟时间计算(所做FPGA设计),竞争冒险,消除毛刺的方法等

9.具备具体设计经验;

10.良好的设计思路;

-------流水线设计即熟称打拍子,在速率资源功耗之间的折中考虑

 

转载于:https://www.cnblogs.com/MrYang/archive/2011/01/08/1930834.html

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值