位运算实现两个数相加

JavaScript实现

        function add(num1,num2){
            var sum1=num1^num2;//忽略进位,异或
            var sum2=(num1&num2)<<1;//与,移位,进位运算
            sum=sum1+sum2;//相加
            return sum;
        }
        console.log(add(12,18));//30

Java实现,控制台输入数据

 1 import java.util.Scanner;
 2 
 3 
 4 public class add {
 5     static int sum=0;
 6     public static int test(int a,int b){
 7         int sum1=a^b;
 8         int sum2=(a&b)<<1;
 9         sum=sum1+sum2;
10         return sum;
11     }
12     
13     public static void main(String[] args){
14         Scanner con=new Scanner(System.in);
15         int a=con.nextInt();
16         int b=con.nextInt();
17         
18         System.out.print(test(a,b));
19     }
20 }

 

转载于:https://www.cnblogs.com/dll-ft/p/5861324.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,我可以为你提供一个简单的 Verilog HDL 设计示例,用于实现个 4 位数的相加运算。以下是代码示例: ``` module FullAdder(A, B, Cin, Sum, Cout); input A, B, Cin; output Sum, Cout; assign Sum = A ^ B ^ Cin; assign Cout = (A & B) | (Cin & (A ^ B)); endmodule module Adder(A, B, Sum); input [3:0] A, B; output [3:0] Sum; wire [3:0] C; FullAdder FA0(A[0], B[0], 1'b0, Sum[0], C[1]); FullAdder FA1(A[1], B[1], C[1], Sum[1], C[2]); FullAdder FA2(A[2], B[2], C[2], Sum[2], C[3]); FullAdder FA3(A[3], B[3], C[3], Sum[3], 1'b0); endmodule module Display(Sum, Seg); input [3:0] Sum; output [6:0] Seg; assign Seg[6:4] = 3'b111; // 共阳极数码管 case(Sum) 4'd0: Seg[3:0] = 7'b1000000; 4'd1: Seg[3:0] = 7'b1111001; 4'd2: Seg[3:0] = 7'b0100100; 4'd3: Seg[3:0] = 7'b0110000; 4'd4: Seg[3:0] = 7'b0011001; 4'd5: Seg[3:0] = 7'b0010010; 4'd6: Seg[3:0] = 7'b0000010; 4'd7: Seg[3:0] = 7'b1111000; 4'd8: Seg[3:0] = 7'b0000000; 4'd9: Seg[3:0] = 7'b0011000; default: Seg[3:0] = 7'b1111111; endcase endmodule ``` 这个设计示例包括了三个模块:FullAdder、Adder 和 Display。其中 FullAdder 是一个 1 位全加器模块,Adder 是一个 4 位加法器模块,Display 是一个数码管显示模块。 在 Adder 模块中,我们使用了四个 FullAdder 模块来实现 4 位数的相加运算。在 Display 模块中,我们使用了一个 case 语句来将 Adder 模块的输出结果转换成对应的数码管控制信号。 需要注意的是,这个设计示例仅供参考,实际实现可能需要根据具体的需求进行修改和优化。同时,为了测试你的设计,你需要使用仿真工具进行测试,并且在实际硬件上进行验证。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值