FPGA TestBench 计数

//仿真激励 testbench
`timescale 1ns/100ps           //#10.11 --->延时10.10ns  预编译声明延时单位  单位时标

module tb_ex_cnt;//声明不需要端口列表
        reg     tb_sclk, tb_rst_n;//激励信号的声明
        wire    [9:0]   tb_cnt;//原始模块输出信号连接线的声明
        
        initial     //一次上电只被执行一次
        begin       //initial 快内只能对寄存器变量赋值
                tb_sclk  <= 0;
                tb_rst_n <= 0;
                #200.1
                tb_rst_n <= 1;
        end

always #10 tb_sclk <= ~tb_sclk;//循环震荡周期为20ns
        
//例化的方法
//原始模块名字 例化的名字(可以自定义)
ex_cnt  ex_cnt_inst(
        .sclk   (tb_sclk),//模拟晶振产生时钟震荡
        .rst_n  (tb_rst_n),//模拟外部复位电平
        .cnt    (tb_cnt) //例化模块的时候如果原始模块是输出信号,那么括号内必须是wire变量
);
        
endmodule

 

----------------来源ModelSim 仿真

 

转载于:https://www.cnblogs.com/firstparke/p/9053415.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值